Research Article Volume 6 Issue No. 4

Size: px
Start display at page:

Download "Research Article Volume 6 Issue No. 4"

Transcription

1 DOI / ISSN IJESC Research Article Volume 6 Issue No. 4 Design of Combinational Circuits by Using Reversible Logic Circuits S.Rambabu Assistant professor Department of E.C.E Santhiram Engineering College, Nandyal, Kurnool, AP, India ramu.luck@gmail.com Abstract: The growing technologies have increased the demand of high power complexity. In order to get low power consumption, Reversible complexity is used. Reversible logic circuit is widely used in quatanum computing, optical computing and power efficient nano technology. Reversible circuits do not use the information. These are many reversible logic circuits such as MZI, Toffoli gate, Feynman gate. By using this logic gates we can implement the combinational circuits such as multiplexer, demultiplexer, full adder etc; by implementing the combinational circuits with the help of reversible circuits. We obtain low power consumption. The delay is decreased. Keywords: Optical Reversible computing, Mach Zehender Interferometer (MZI), Full Adder, Multiplexer; Decoder; Optical cost. I INTRODUCTION With the rapid growth of portable electronic devices, it is becoming a critical challenge to design low-power, high speed(lphs) circuits that occupy small chip areas. Such studies mostly rely on creative design ideas but do not follow a systematic approach. As consequences, most of them suffer from some different disadvantages. 1) They are implemented with logic styles that have an incomplete voltage swing in some internal nodes, which leads to static power dissipation. 2) Most of them suffer from severe output signal degradation and cannot sustain low voltage operation. 3) They predominantly have dynamic power consumption for non balanced propagation delay inside and outside circuits which results in glitches at the outputs. Therefore, a well-organised design methodology can be regarded as a strong solution for the challenge. It is not tryand-error-driven, which means that is systematically and deliberately aims to the design goals. It also picks circuit components wisely and does not postpone the determination of the circuit characteristics. VLSI chiefly comprises of front design these days. While front end design includes digital design using HDL, design verification techniques the design from gates and design for testability, backend design comprises of CMOS library design and its characterization. The major design steps are different levels of abstraction of device as whole. It is more of a high level representation of the system, The major parameters considered at this level are performance, functionality, physical dimensions, fabrication technology and design techniques. It has to be a trade off between market requirements the available technology and the economical viability of the design. The end specifications include the size, speed, power and functionality of the vlsi system. Gate minimization techniques are employed to find the, simplest or rather the smallest most effective implementation of the logic. While the logic design gives the simplified implementation of the logic, the realization of the circuit in the form of a netlist is done in this step. Gates, transistors and interconnects are put in place to make a list. This again is a software step and the outcome is checked via simulation. The conversion of the netlist into its geometrical representation is done in this step and the result is called as output. This step follows some predefined fixed rules like the lambda rules which provide the exact details of the size, ratio and spacing between components. II.PREVIOUS WORK Combinational circuit is a circuit which we combine we combine the different gates in the circuit, for example encoder, decoder, multiplexer, demultiplexer. Some of the characteristics of the combinational circuits are as follows: 1) The output of the combinational circuits at any instant of time depends on the levels present at the input terminals. 2) The combinational circuits do not have any memory. The previous state of the input does not have any effect on the present state of the circuit. 3) The combinational circuits have n number of inputs and m number of outputs. MULTIPLEXER: Multiplexer is a special one type of combinational circuit. It has a n number of data input and, one outputs m select inputs with 2m=n. International Journal of Engineering Science and Computing, April

2 demand for faster communication is increasing day by day, in order to full fill this MZI switch is used. Fig 1: Internal Diagram of MZI switch DEMULTIPLEXER: A demultiplexer performs the reverse option of a multiplexer i.e., it receives one input and distributed it over several outputs. TOFFOLI GATE: Universal reversible logic gate, named its inverter Tommaso Toffoli. IT means that any reversible circuit can be constructed from toffoli gate. Fig 2; Internal Diagram of Toffoli Gate FULL ADDER: Full Adder is developed to overcome the drawback of Half Adder circuit. It can be add two one-bit numbers A and B, and carry. The full adder is a three input and two output combinational circuit. FEYNMAN GATE: In the previous approach we have high power consumption and loss of information. In order to overcome this problem we are moving to our proposed approach. III.PROPOSED APPROACH In 1973, C.H.Bannet stated that reversible logic can overcome the heat dissipation problems of VLSI circuits. A device is said to be reversible if it satisfies the following conditions: 1) For any deterministic device to be reversible is that its input and output be uniquely retrievable from each other. 2) A device can actually run backwards. In a normal gate input states are lost since less information is present in the output that was present at the input. Some of the reversible logic circuits are MZI, TOFFOLI GATE, FEYNMAN GATE etc; MZI: MZI stands for Mach Zehender Interferometer. It is used to determine the relative phase shift between two beams. The Fig 3: Internal Diagram of Feynman Gate The reversible combinational circuits are: MULTIPLEXER: It is a digital circuit when selects one of the n data inputs and routes it to the output. The selection of one of the n inputs is done by the selected inputs. Depending on the digital code applied at the selected inputs, one out of n data sources is selected and transmitted with outputs. Fig 4: Internal diagram of reversible multiplexer International Journal of Engineering Science and Computing, April

3 Truth Table of Multiplexer DEMULTIPLEXER: At a time only the output line is selected by the select lines and the input is transmitted to the selected output. A demultiplexer is equivalent to a single pole multi way switch. FULL ADDER: Fig: Internal diagram of reversible full Adder The abow diagram represent the full adder that implemented based on one ORGI and one FG revesable gates and the function table for full adder is shown in below Fig 5: Internal Diagram of reversible Demultiplexer Truth Table of Demultiplexer The above table signifies the truth table for Demultiplexer DECODER: Truth Table of Full Adder The below fig shows the implemented diagram for full adder International Journal of Engineering Science and Computing, April

4 IV.RESUT AND ANALYSIS The below all figure shows the resultant waveforms and layout for multiplexer, demultiplexer, Decoder and full adder shown in below Fig 6: Layout of multiplexer Fig 10:layout of Decoder Fig 7: waveforms of multiplexer Fig 11: waveforms of Decoder Fig 8:Layout of Demultiplexer Fig 12:layout of full adder Fig 9: wave forms of Demultiplexer International Journal of Engineering Science and Computing, April

5 implemented MUX,DEMUX, DECODER, FULLADDER circuits and simulated based on Microwindow tool and the resultant waveforms are show in above section and from the above result analysis and tables, concluded that Reversible logic is a best approach to reduce power, area, delay in Digital circuits Fig13:wave forms of full adder The below tables signifies the comparison tables for all implemented circuits. that comparison done between CMOS and Reversible Technique shown in below VI.REFERENCES [I] World's First 2-Billion Transistor Microprocessors Intel Corporation. Feb [Online]. Available: architecture silicon! 2billion.htm?iid=tech_micro+2b. [2] D. H. Allen. S. H. Dhong, H. P. Hofstee, 1. Leenstra, K. J. Nowka, D. L. Stasiak, and D. F. Wendel, "Custom circuit design as a driver of microprocessor performance," ibm J. Res. and Develop., vol. 44, no. 6, pp , Nov [3] P. E. Gronowski, W. J. Bowhill, R. P. Preston, M. K. Gowan, and R. L. Allmon, "High-performance microprocessor design," ieee J. Solid State Circuits, vol. 33, no. 5, pp , May [4] K. Yelamarthi and c.-i. H. Chen, "Process variationaware timing optimization for dynamic and mixed-staticdynamic CMOS logic," ieee Trans. Semicond. Manuf, vol. 22, no. I, pp , Feb [5] 1. P. Fish burn and A. E. Dunlop, "TlLOS: A posynomial programming approach to transistor sizing," in into Con] Computer Aided Design, 1985, pp Table:Comparision table of all combinational circuits based on CMOS Fig 14: Comparison table of all combinational circuits based on Reversible logic technique From the above tables we can analyze that the reversible logic give better performance compared to Cmos in terms of Power dissipation, area, delay. V.CONCLUSION The growing technologies have increased the demand of high power complexity. In order to get low power consumption, Reversible complexity is used. In this International Journal of Engineering Science and Computing, April

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Subtractor Logic Schematic

Subtractor Logic Schematic Function Of Xor Gate In Parallel Adder Subtractor Logic Schematic metic functions, including half adder, half subtractor, full adder, independent logic gates to form desired circuits based on dif- by integrating

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

COMBINATIONAL CIRCUIT

COMBINATIONAL CIRCUIT Combinational circuit is a circuit in which we combine the different gates in the circuit, for example encoder, decoder, multiplexer and demultiplexer. Some of the characteristics of combinational circuits

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

A New Gate for Low Cost Design of All-optical Reversible Logic Circuit

A New Gate for Low Cost Design of All-optical Reversible Logic Circuit A New Gate for Low Cost Design of All-optical Reversible Logic Circuit Mukut Bihari Malav, Department of Computer Science & Engineering UCE, Rajasthan Technical University Kota, Rajasthan, India mbmalav@gmail.com

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

Design and Implementation of combinational circuits in different low power logic styles

Design and Implementation of combinational circuits in different low power logic styles IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 01-05 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

Self-Checking Carry-Select Adder Design Based on Two-Pair Two-Rail Checker

Self-Checking Carry-Select Adder Design Based on Two-Pair Two-Rail Checker Self-Checking Carry-Select Adder Design Based on Two-Pair Two-Rail Checker P.S.D.Lakshmi 1, K.Srinivas 2, R.Satish Kumar 3 1 M.Tech Student, 2 Associate Professor, 3 Assistant Professor Department of ECE,

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY International Journal of Microelectronics Engineering (IJME), Vol. 1, No.1, 215 PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY K.Dhanunjaya 1, Dr.MN.Giri Prasad 2, Dr.K.Padmaraju

More information

A New Gate for Low Cost Design of All-optical Reversible Logic Circuit

A New Gate for Low Cost Design of All-optical Reversible Logic Circuit A New Gate for Low Cost Design of All-optical Reversible Logic Circuit Dr.K.Srinivasulu Professor, Department of ECE, Malla Reddy College of Engineering. Abstract: The development in the field of nanometer

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 2, Ver. II (Mar.-Apr. 2017), PP 20-27 www.iosrjournals.org Cmos Full Adder and

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Analysis of New Dynamic Comparator for ADC Circuit

Analysis of New Dynamic Comparator for ADC Circuit RESEARCH ARTICLE OPEN ACCESS Analysis of New Dynamic Comparator for ADC Circuit B. Shiva Kumar *, Fazal Noorbasha**, K. Vinay Kumar ***, N. V. Siva Rama Krishna. T**** * (Student of VLSI Systems Research

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Implementation of Full Adder using Cmos Logic

Implementation of Full Adder using Cmos Logic ISSN: 232-9653; IC Value: 45.98; SJ Impact Factor:6.887 Volume 5 Issue VIII, July 27- Available at www.ijraset.com Implementation of Full Adder using Cmos Logic Ravika Gupta Undergraduate Student, Dept

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

Enhancement of Design Quality for an 8-bit ALU

Enhancement of Design Quality for an 8-bit ALU ABHIYANTRIKI An International Journal of Engineering & Technology (A Peer Reviewed & Indexed Journal) Vol. 3, No. 5 (May, 2016) http://www.aijet.in/ eissn: 2394-627X Enhancement of Design Quality for an

More information

Implementation of Low Power High Speed Full Adder Using GDI Mux

Implementation of Low Power High Speed Full Adder Using GDI Mux Implementation of Low Power High Speed Full Adder Using GDI Mux Thanuja Kummuru M.Tech Student Department of ECE Audisankara College of Engineering and Technology. Abstract The binary adder is the critical

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input (DMTGDI)

Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input (DMTGDI) International Journal of Engineering and Advanced Technology (IJEAT) ISSN: 2249 8958, Volume-6 Issue-6, August 2017 Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July-2015 636 Low Power Consumption exemplified using XOR Gate via different logic styles Harshita Mittal, Shubham Budhiraja

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2

An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2 An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2 1 M.Tech student, ECE, Sri Indu College of Engineering and Technology,

More information

Design of 64-Bit Low Power ALU for DSP Applications

Design of 64-Bit Low Power ALU for DSP Applications Design of 64-Bit Low Power ALU for DSP Applications J. Nandini 1, V.V.M.Krishna 2 1 M.Tech Scholar [VLSI Design], Department of ECE, KECW, Narasaraopet, A.P., India 2 Associate Professor, Department of

More information

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design 1 B. Dilli Kumar, 2 A. Chandra Babu, 2 V. Prasad 1 Assistant Professor, Dept. of ECE, Yoganada Institute of Technology & Science,

More information

Design of an optimized multiplier based on approximation logic

Design of an optimized multiplier based on approximation logic ISSN:2348-2079 Volume-6 Issue-1 International Journal of Intellectual Advancements and Research in Engineering Computations Design of an optimized multiplier based on approximation logic Dhivya Bharathi

More information

A New Gatefor Low Cost Design of All-Optical Reversible Combinational and Sequential Circuits

A New Gatefor Low Cost Design of All-Optical Reversible Combinational and Sequential Circuits A New Gatefor Low Cost Design of All-Optical Reversible Combinational and Sequential Circuits S.Manjula M.Tech Research Scholar, SNIST, Hyderabad. Dr.G.V.Maha Lakshmi Professor, SNIST, Hyderabad. Abstract:

More information

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime IJIRST International Journal for Innovative Research in Science & Technology Volume 1 Issue 12 May 2015 ISSN (online): 2349-6010 Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications International Journal of Engineering Inventions e-issn: 2278-7461, p-issn: 2319-6491 Volume 3, Issue 11 (June 2014) PP: 1-7 Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Comparator Design Analysis using Efficient Low Power Full Adder Meena Aggarwal 1, Rajesh Mehra 2 1 ME student (ECE), 2 Associate Professor

Comparator Design Analysis using Efficient Low Power Full Adder Meena Aggarwal 1, Rajesh Mehra 2 1 ME student (ECE), 2 Associate Professor International Journal of Engineering Trends and Technology (IJETT) olume 26 Number 1- August 2015 Comparator Design Analysis using Efficient Low Power Full Adder Meena Aggarwal 1, Rajesh Mehra 2 1 ME student

More information

Implementation of Reversible Arithmetic and Logic Unit (ALU)

Implementation of Reversible Arithmetic and Logic Unit (ALU) Implementation of Reversible Arithmetic and Logic Unit (ALU) G.Vimala Student, Department of Electronics and Communication Engineering, Dr K V Subba Reddy Institute of Technology, Dupadu, Kurnool,AP, India.

More information

AN OPTIMIZED IMPLEMENTATION OF 16- BIT MAGNITUDE COMPARATOR CIRCUIT USING DIFFERENT LOGIC STYLE OF FULL ADDER

AN OPTIMIZED IMPLEMENTATION OF 16- BIT MAGNITUDE COMPARATOR CIRCUIT USING DIFFERENT LOGIC STYLE OF FULL ADDER AN OPTIMIZED IMPLEMENTATION OF 16- BIT MAGNITUDE COMPARATOR CIRCUIT USING DIFFERENT LOGIC STYLE OF FULL ADDER 1 D. P. LEEPA, PG Scholar in VLSI Sysem Design, 2 A. CHANDRA BABU, M.Tech, Asst. Professor,

More information

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES Basil George 200831005 Nikhil Soni 200830014 Abstract Full adders are important components in applications such as digital

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof.

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. High-speed low-power 2D DCT Accelerator EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. Mingoo Seok Project Goal Project Goal Execute a full VLSI design

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Design of Low Power High Speed Hybrid Full Adder

Design of Low Power High Speed Hybrid Full Adder IJECT Vo l. 6, Is s u e 4, Oc t - De c 2015 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Design of Low Power High Speed Hybrid Full Adder 1 P. Kiran Kumar, 2 P. Srikanth 1,2 Dept. of ECE, MVGR College

More information

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations Volume-7, Issue-3, May-June 2017 International Journal of Engineering and Management Research Page Number: 42-47 Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

More information

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 5, Ver. II (Sep.- Oct. 2017), PP 68-73 www.iosrjournals.org An Efficient and

More information

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Ch. Mohammad Arif 1, J. Syamuel John 2 M. Tech student, Department of Electronics Engineering, VR Siddhartha Engineering College,

More information

Design and Analysis of f2g Gate using Adiabatic Technique

Design and Analysis of f2g Gate using Adiabatic Technique Design and Analysis of f2g Gate using Adiabatic Technique Renganayaki. G 1, Thiyagu.P 2 1, 2 K.C.G College of Technology, Electronics and Communication, Karapakkam,Chennai-600097, India Abstract: This

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

A Novel Approach for High Speed Performance of Sequential Circuits using Reversible Logic Based on MZI

A Novel Approach for High Speed Performance of Sequential Circuits using Reversible Logic Based on MZI A Novel Approach for High Speed Performance of Sequential Circuits using Reversible Logic Based on MZI M.N.L. Prathyusha 1 G. Srujana 2 1PG Scholar, Department of ECE, Godavari Institute of Engineering

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS

DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS Rajesh Pidugu 1, P. Mahesh Kannan 2 M.Tech Scholar [VLSI Design], Department of ECE, SRM University, Chennai, India 1 Assistant Professor, Department

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I.

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I. Comparison and analysis of sequential circuits using different logic styles Shofia Ram 1, Rooha Razmid Ahamed 2 1 M. Tech. Student, Dept of ECE, Rajagiri School of Engg and Technology, Cochin, Kerala 2

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

Energy Efficient and High Performance 64-bit Arithmetic Logic Unit using 28nm Technology

Energy Efficient and High Performance 64-bit Arithmetic Logic Unit using 28nm Technology Journal From the SelectedWorks of Kirat Pal Singh Summer August 28, 2015 Energy Efficient and High Performance 64-bit Arithmetic Logic Unit using 28nm Technology Shruti Murgai, ASET, AMITY University,

More information

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic Basthana Kumari PG Scholar, Dept. of Electronics and Communication Engineering, Intell Engineering College,

More information

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence L.Vasanth 1, D. Yokeshwari 2 1 Assistant Professor, 2 PG Scholar, Department of ECE Tejaa Shakthi Institute of Technology

More information

Design and Implementation of Single Bit ALU Using PTL & GDI Technique

Design and Implementation of Single Bit ALU Using PTL & GDI Technique Volume 5 Issue 1 March 2017 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org Design and Implementation of Single Bit ALU Using PTL & GDI

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension Monisha.T.S 1, Senthil Prakash.K 2 1 PG Student, ECE, Velalar College of Engineering and Technology

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

Minimization of Area and Power in Digital System Design for Digital Combinational Circuits

Minimization of Area and Power in Digital System Design for Digital Combinational Circuits Indian Journal of Science and Technology, Vol 9(29), DOI: 10.17485/ijst/2016/v9i29/93237, August 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Minimization of Area and Power in Digital System

More information

ISSN Vol.04, Issue.05, May-2016, Pages:

ISSN Vol.04, Issue.05, May-2016, Pages: ISSN 2322-0929 Vol.04, Issue.05, May-2016, Pages:0332-0336 www.ijvdcs.org Full Subtractor Design of Energy Efficient, Low Power Dissipation Using GDI Technique M. CHAITANYA SRAVANTHI 1, G. RAJESH 2 1 PG

More information

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Volume 7, PP 13-18 www.iosrjen.org Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles Mahalaxmi

More information

A Novel Designing Approach for Low Power Carry Select Adder M. Vidhya 1, R. Muthammal 2 1 PG Student, 2 Associate Professor,

A Novel Designing Approach for Low Power Carry Select Adder M. Vidhya 1, R. Muthammal 2 1 PG Student, 2 Associate Professor, A Novel Designing Approach for Low Power Carry Select Adder M. Vidhya 1, R. Muthammal 2 1 PG Student, 2 Associate Professor, ECE Department, GKM College of Engineering and Technology, Chennai-63, India.

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics Design and Implementation of ALU Chip using D3L and Ancient Mathematics Mohanarangan S PG Student (M.E-Applied Electronics) Department of Electronics and Communicaiton Engineering Sri Venkateswara College

More information

Reduced Area Carry Select Adder with Low Power Consumptions

Reduced Area Carry Select Adder with Low Power Consumptions International Journal of Emerging Engineering Research and Technology Volume 3, Issue 3, March 2015, PP 90-95 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) ABSTRACT Reduced Area Carry Select Adder with

More information

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Mangayarkkarasi M 1, Joseph Gladwin S 2 1 Assistant Professor, 2 Associate Professor 12 Department of ECE 1 Sri

More information

Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter

Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter Paluri Nagaraja 1 Kanumuri Koteswara Rao 2 Nagaraja.paluri@gmail.com 1 koti_r@yahoo.com 2 1 PG Scholar, Dept of ECE,

More information

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications M. Sivakumar Research Scholar, ECE Department, SCSVMV University, Kanchipuram, India. Dr.

More information

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique ABSTRACT: Rammohan Kurugunta M.Tech Student, Department of ECE, Intel Engineering College, Anantapur, Andhra Pradesh,

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Performance analysis of different 8-bit full adders

Performance analysis of different 8-bit full adders IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 4, Ver. II (Jul - Aug. 2015), PP 35-39 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Performance analysis of different

More information

Energy Efficient Full-adder using GDI Technique

Energy Efficient Full-adder using GDI Technique Energy Efficient Full-adder using GDI Technique Balakrishna.Batta¹, Manohar.Choragudi², Mahesh Varma.D³ ¹P.G Student, Kakinada Institute of Engineering and technology, korangi, JNTUK, A.P, INDIA ²Assistant

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER Mr. M. Prakash Mr. S. Karthick Ms. C Suba PG Scholar, Department of ECE, BannariAmman Institute of Technology, Sathyamangalam, T.N, India 1, 3 Assistant

More information

A New Logic Gate for High Speed Optical Signal Processing Using Mach- Zehnder Interferometer (MZI)

A New Logic Gate for High Speed Optical Signal Processing Using Mach- Zehnder Interferometer (MZI) A New Logic Gate for High Speed Optical Signal Processing Using Mach- Zehnder Interferometer (MZI) Dr. Sanjeev Kumar 1, Bhushan Kumar 2, Akshay Singh 3 Assistant Professor, Department of Electronics and

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

More information

Energy Efficient high Performance Three INPUT EXCLUSIVE- OR/NOR Gate Design

Energy Efficient high Performance Three INPUT EXCLUSIVE- OR/NOR Gate Design 2017 IJSRST Volume 3 Issue 6 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology Energy Efficient high Performance Three INPUT EXCLUSIVE- OR/NOR Gate Design Aditya Mishra,

More information