Review Paper on Low Power VLSI Design Techniques

Size: px
Start display at page:

Download "Review Paper on Low Power VLSI Design Techniques"

Transcription

1 Review Paper on Low VLSI Design Neha Thakur 1, Deepak Kuar 2 1 Assistant Professor, ECE Deptt., SRMS WCET, Bareilly, India 2 Assistant Professor, ECE Deptt., Raa University, Kanpur, India 1 nehathakurec@gail.co, 2 deepakpatel03@gail.co, Abstract: Low power has eerged as a principal thee in today s world of electronics industries. dissipation has becoe an iportant consideration as perforance and area for VLSI Chip design. With shrinking technology reducing power consuption and over all power anageent on chip are the key challenges below 100n due to increased coplexity. For any designs, optiization of power is iportant as tiing due to the need to reduce package cost and extended battery life. For power anageent leakage current also plays an iportant role in low power VLSI designs. Leakage current is becoing an increasingly iportant fraction of the total power dissipation of integrated circuits. This paper describes about the various strategies, ethodologies and power anageent techniques for low power circuits and systes. Future challenges that ust be et to designs low power high perforance circuits are also discussed. the past decade to address the continuously aggressive power reduction requireents of ost of the high perforance. The basic techniques for low power design such as: clock gating for reducing dynaic power, ultiple threshold voltage (ulti-vt) to decrease leakage current, are well-established and supported by existing tools [17]. Fro Figure 1 we can analyze how any changes takes place in circuit design using power dissipation [15]. Keywords: Dissipation, low power, process nodes, leakage current, power anageent. I. INTRODUCTION The advantage of utilizing a cobination of low-power coponents in conjunction with low-power design techniques is ore valuable now than ever before. Requireents for lower power consuption continue to increase significantly as coponents becoe battery-powered, saller and require ore functionality. In the past the ajor concerns for the VLSI designers was area, perforance and cost. consideration was the secondary concerned. Now a day s power is the priary concerned due to the rearkable growth and success in the field of personal coputing devices and wireless counication syste which deand high speed coputation and coplex functionality with low power consuption. The otivations for reducing power consuption differ application to application. In the class of icro-powered battery operated portable applications such as cell phones, the goal is to keep the battery lifetie and weight reasonable and packaging cost low. For high perforance portable coputers such as laptop the goal is to reduce the power dissipation of the electronics portion of the syste to a point which is about half of the total power dissipation. Finally for the high perforance non battery operated syste such as workstations the overall goal of power iniization is to reduce the syste cost while ensuring long ter device reliability. For such high perforance systes, process technology has driven power to the fore front to all factors in such designs. At process nodes below 100 n technology, power consuption due to leakage has joined switching activity as a priary power anageent concern. There are any techniques [15] that have been developed over Figure 1: Evolution in dissipation[15] II. LOW POWER STRATEGIES There (Table I)) are different strategies available at different level in VLSI design process for optiizing the power consuption: Design Level Operating Syste Level Software level Architecture level Circuit/Logic level Technology Level Table I. Strategies for low power designs Strategies Portioning, down Regularity, locality, concurrency Pipelining, Redundancy, data encoding Logic styles, transistor sizing and energy recovery Threshold reduction, ulti threshold devices Effective power anageent is possible by using the different 14

2 strategies at various levels in VLSI Design process. So designers need an intelligent approach for optiizing power consuptions in designs. III. POWER DISSIPATION BASICS In a circuit three coponents are responsible for power dissipation: dynaic power, short-circuit power and static power. Out of these, dynaic power or switching power is priarily power dissipated when charging or discharging capacitors and is described below [5, 6]: P dynaic = C L Vdd 2 α f (1) Where C L : Load Capacitance, a function of fan-out, wire length, and transistor size, Vdd: Supply, which has been dropping with successive process nodes, α: Activity Factor, eaning how often, on average, the wires switch, f: Frequency, which is increasing at each successive process node. Static power or leakage power is a function of the supply voltage (Vdd), the switching threshold (Vt), and transistor sizes (Figure2). As process nodes shrink, leakage becoes a ore significant source of energy use, consuing at least 30% of total power [2]. Crowbar currents, caused when both the PMOS and NMOS devices are siultaneously on, also contribute to the leakage power dissipation [17]. Most circuit level iniization techniques focus only on Sub threshold leakage reduction without considering the effects of gate leakage [15].For this MTCMOS schee [4] has been proposed for reduction of sub threshold leakage current in sleep ode. Figure-2 shows the various coponents responsible for power dissipation in CMOS. reduction offers the ost effective eans of iniizing power consuption. Without requiring any special circuits and technologies, a factor of two reduction in supply voltage yields a factor of four decreases in power consuption. Unfortunately, there is speed penalty for supply voltage reduction and delays drastically increase as Vdd approaches to the threshold voltage Vt of the device. The approach to reduce the supply voltage without loss in throughput is to odify the threshold voltage of the devices. Reducing the Vt allows the supply voltage to be scaled down without loss in speed.the liit of how low low the Vt can go is set by the reqireent to set adequate noise argins and control the increase in the subtreshold leakage current [6,8,10]. Table II. Low power techniques used today [1, 2] Traditional Frequency Dynaic Reduction Efficient Frequency Leakage power reduction Miniize usage of low Vt cells Back Biasing Other reduction Multi Oxide devices Miniize capacitance circuits Supply Device Threshold Supply Island Reduce Oxide Thickness Use Fin FET 4.2. Physical Capacitance 15 IV. Figure 2 Dissipation in CMOS [4] LOW POWER DESIGN SPACE Fro the above section it is revealed that there are three degrees of freedo in the VLSI design space :, Physical Capacitance and data activity. Optiizing for ore power entails an attept to reduce one or ore of these factors. This section briefly describes about their iportance in power optiization process Because of its quadratic relationship to power, voltage Dynaic power consuption depends linearly on the physical capacitance being switched. So, in addition to operating at low voltages, iniizing capacitances offer another technique for iniizing power consuption.the capacitances can be kept at a iniu by using less logic, saller devices, fewer and shorter wires[6,8,10]. As with voltage, however, we are not free to optiize capacitances independently, for exaple reducing device sizes reduces physical capacitance, but it also reduces the current drive of the transistor aking the circuit operate ore slowly Switching Activity There are two coponents to switching activity : F clk which deterines the average periodicityof data arrivals and E(sw)

3 which deterines how any transitions each arrival will generate[14]. E(sw) is reduced by selecting proper algoriths architecture optiization, by proper choice of logic topology and by logic level optiization which results in less power[15]. The data activity E(sw) are cobined with the physical capacitance C to obtained switch capacitance C sw =C.E(sw),which describes the average capacitance charge during each data period1/fclk which deterines the power consued by CMOS circuit[9]. [9]. When selecting a technology to optiize the power for a given design, you ust take both aspects into consideration: the need to use a saller geoetry to reduce active power and the need to use a low-leakage variant to reduce leakage. V. POWER MINIMIZATION TECHNIQUES This section addresses (TABLE II) the different approaches to iniize the power at different levels: 5.1. Reducing Chip and package capacitance This can be achieved through process developent such as SOI with partially or fully depleted wells, CMOS scaling to subicron device sizes and advanced interconnect substrates such as ulti chip odule (MCM). This approach can be very effective but is also very expensive [15, 19] Scaling the supply voltage ( Scaling) This approach can be very effective in reducing the power dissipation, but often requires new IC fabrication processing [13] Using power anageent strategies Effective power anageent involves selection of the right technology, the use of optiized libraries, IP (intellectual property), and design ethodology [1, 19]. Figure-3 shows the effective power anageent strategy The Role of Technology Selection: Proper technology selection is one of the key aspects of power anageent [1]. The goal of each technology advanceent is to iprove perforance, density, and power consuption. The typical approach in developing a new generation of technology is to apply constant-electric-field scaling. Process designers scale both the applied voltage and the oxide thickness to aintain the sae electric field [13,16]. This approach reduces power by about 50% with every new technology node However, as the voltage gets saller, the threshold voltage also ust scale down to eet the perforance targets of that technology. This scaling unfortunately increases the sub threshold current and hence the leakage power. To overcoe this constraint, process engineers no longer apply constant-field scaling for processes of 65 n or saller; instead, they used a ore generalized for of scaling. Because it is ipossible to optiize a technology for both perforance and leakage at once, each technology usually has two variants. One variant ais for high perforance, and the other shoots for low leakage. The priary differences between the two are in the oxide thickness, supply voltage, and threshold voltage. The technology variant with the thicker gate oxide ais for low-leakage design and ust support a higher voltage to achieve a reasonable perforance 16 Figure 3: Technology selection for [9] effective power anageent Circuit-Design : After selecting technology, the focus is on design techniques to optiize power. (Figure 5). One has to start by selecting the appropriate logic gate fro the standard cell library. Each gate in a standard cell library uses the sallest transistors and has ultiple versions with different drive strengths, sizes, delays, ultiple-threshold voltage and power consuption. Because the ain paraeter for controlling active power is the power-supply voltage, cell designers typically design and characterize the gates to operate at voltages as uch as 30% lower than the power-supply voltage [1]. Figure4: Trade off between leakage and Lowering the power-supply voltage produces saller currents, resulting in ore delay. However, this slowdown is acceptable if the design is not pushing the edges of a given technology. Increasing the threshold voltage reduces the leakage current in the device. Leakage power also controlled by designing logic

4 gates with ultiple-threshold-voltage devices [16], including standard high and low threshold voltage devices. Figure-4 shows the variation of gate delay Vs leakage power CAD Methodologies and Technique: Today s EDA tools effectively support these power-anageent techniques [3]. They also provide additional power savings during ipleentation. Low power VLSI designs can be achieved at various levels of the design abstraction fro algorithic and syste levels down to layout and circuit levels. Table III. Trade off associated with power anageent techniques Reduction Benefit Technique Multi Vt optiizati on Multi supply voltage Shut off Dynaic and adaptive frequency scaling Substrate Biasing 17 Tiing Area Penalty Penalty Archite cture Methodology Ipact Desig n Verifi Iple cation entation Little Little Low Low None Low Little Little Low Low None Low Large Soe Little High Low Huge Soe Soe High High High High Large Soe Soe High High High High Large Soe Soe None None High 5.4. Low anageent in Physical Design Physical design tools interpret the power intent and ipleent the layout correctly, fro placeent of special cells to routing and optiization across power doains in the presence of ultiple corners, odes, and power states, plus anufacturing variability [2, 3]. An increasingly coon technique to reduce power in physical design is the use of ultiple voltage islands (doains), which allows soe blocks to use lower supply voltages than others, or to be copletely shut off for certain odes of operation [6].s are a significant source of dynaic power usage. Low-power clock tree synthesis (CTS) strategies [5, 6] include lowering overall capacitance and iniizing switching activity to achieve power saving. However, getting the best power results fro CTS depends on the ability to synthesize the clocks for ultiple corners and odes concurrently in the presence of design and anufacturing variability, and in ulti-voltage flows [8]. gating technique is effective for reducing leakage power by teporarily turned off the circuit [17, 8]. This teporary shutdown tie can also call as "low power ode" or "inactive ode". When circuit blocks are required for operation once again they are activated to "active ode". Shutting down the blocks can be accoplished either by software or hardware. Now-a-days a dedicated power. anageent controller is used for this purpose [17]. Table-3 gives the trade-off associated with the various power anageent techniques [17]. VI. CONCLUSION The need for lower power systes is being driven by any arket segents. Unfortunately designing for low power adds another diension to the already coplex design proble and the design has to be optiized for power as well as Perforance and Area. In conclusion various issues and ajor challenges regarding low power designs are:- 1 Technology Scaling: It relates with the following factors like: Capacitance per node reduces by 30%, Electrical nodes increases by 2X, Die size grows by 14% (Moore s Law), Supply reduces by 15% and Frequency Increases by 2X. To eet these issues relatively 2.7 X active power will increase. 2 Leakage power: To eet frequency deand Vt will be scaled which results high leakage power. A low voltage / low threshold technology and circuit design approach, targeting supply voltage around 1V and operating with reduced thresholds. 3 Dynaic power anageent techniques, varying supply voltage and execution speed according to the activity easureent. 4 Low power interconnect, using advance technology, reduced swing or activity approach. 5 Developent of power conscious techniques and tools for behavioral synthesis, logic synthesis and layout optiization. 6 saving techniques that recycle the signal energies using the adiabatic switching principals rather the dissipating the as a heat and proising in certain applications where speed can be trades for low power. VII. REFERENCES [1] Michael Keating, David Flynn, Robert Aitken, Ala Gibsons and Kaijian Shi, Low Methodology Manual for Syste on Chip Design, Springer Publications, New York, [2] Creating Low- Digital Integrated Circuits The

5 Ipleentation Phase, Cadence, [3] Liu, Weidong, Xiaodong Jin, Xueei Xi, Jaes Chen, Min-Chie Jeng, Zhihong Liu, Yuhua Cheng, Kai Chen, Mansun Chan, Kelvin Hui, Jianhui Huang, Robert Tu, Ping K Ko, and Chening Hu, BSIM3v3.3 MOSFET Model User's Manual, Departent of Electrical Engineering and Coputer Sciences, University of California-Berkeley, [4] Glasser, Lance A, and Daniel W Dobberpuhl, TheDesign and Analysis of VLSI Circuits, Addison-Wesley Publishing Co, [5] Shekar Borkar, "Design Challenges of Technology Scaling," IEEE Micro, July/August 1999, pg 23. [6] T. Inukai, et.al, Boosted Gate MOS (BGMOS): Device/Circuit Cooperation Schee to Achieve Leakage- Free Giga- Scale Integration, Proc. CICC 2000, pp [7] F.Hazaoglu and M. Stan, Circuit-Level to Control Gate Leakage for sub 100n CMOS, Proc. ISLPED, pp , Aug [8] Y. Yeo, et.al, Direct Tunneling Gate Leakage Current in Transistors with Ultrathin Silicon Nitride Gate Dielectric, IEEE Electron Devices Letters, vol.21, no.11, pp , Nov [9] S. Mutoh, et.al, 1-V Supply High-Speed Digital Circuit Technology with Multi-Threshold CMOS, IEEE Journal of Solid State Circuits, vol. 30, no. 8, pp , Aug [10] M.Alidina, j. Monterio, S. Devadas, A.Ghosh and M. Papaefthyiou. Precoputation based Sequential logic optiization for low power In Proceedings of the 1994 International Workshop on Low Design, pages 57-62, April [11] Anand Iyer, Deystify power gating and stop leakage cold, Cadence Design Systes, Inc. [12] De-Shiuan Chiou, Shih-Hsin Chen, Chingwei Yeh, "Tiing driven power gating", Proceedings of the 43rd annual conference on Design autoation,acm Special Interest Group on Design Autoation, pp , [13] B.Peran, Design technologies for VLSI design, encyclopedia of coputer science,1995. [14] Mentor Graphics, Low power physical design with Olypus SOC, Place and route white paper, March 27, [15] Rahul. M.Rao, Jeffery L.Burns, Richard B.Brown, Circuit for gate and subthreshold leakage iniization in future CMOS technologies Proc. ISLPED, pp70-73, [16] J.kao, Siva Narendra, Ananta Chandra Kasan, Subthresh leakage odeling and reduction technique, [17] Prasad Subraanian, anageent for optial power design, ESILICON, Corp

Power Improvement in 64-Bit Full Adder Using Embedded Technologies Er. Arun Gandhi 1, Dr. Rahul Malhotra 2, Er. Kulbhushan Singla 3

Power Improvement in 64-Bit Full Adder Using Embedded Technologies Er. Arun Gandhi 1, Dr. Rahul Malhotra 2, Er. Kulbhushan Singla 3 Power Iproveent in 64-Bit Full Adder Using Ebedded Technologies Er. Arun Gandhi 1, Dr. Rahul Malhotra 2, Er. Kulbhushan Singla 3 1 Departent of ECE, GTBKIET, Chhapianwali Malout, Punjab 2 Director, Principal,

More information

NECESSITIES OF LOW-POWER VLSI DESIGN STRATEGIES AND ITS INVOLVEMENT WITH NEW TECHNOLOGIES

NECESSITIES OF LOW-POWER VLSI DESIGN STRATEGIES AND ITS INVOLVEMENT WITH NEW TECHNOLOGIES Volume 118 No. 19 2018, 2997-3009 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu NECESSITIES OF LOW-POWER VLSI DESIGN STRATEGIES AND ITS INVOLVEMENT

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Distributed Power Delivery for Energy Efficient and Low Power Systems

Distributed Power Delivery for Energy Efficient and Low Power Systems Distributed Power Delivery for Energy Efficient and Low Power Systes Selçuk Köse Departent of Electrical Engineering University of South Florida Tapa, Florida 33620 kose@usf.edu Eby G. Friedan Departent

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

Implementation of Adaptive Viterbi Decoder

Implementation of Adaptive Viterbi Decoder Ipleentation of Adaptive Viterbi Decoder Devendra Made #1 VIII Se B.E.(Etrx) K.D.K.College of Engineering, Nagpur, Maharashtra(I) Asst. Prof. R.B. Khule *2 M.Tech V.L.S.I. K.D.K.College of Engineering,

More information

Exam 1 ECE 410 Fall 2002

Exam 1 ECE 410 Fall 2002 NAME: Exa 1 ECE 410 Fall 2002 During this exa you are allowed to use a calculator and the equations sheet provided. You are not allowed to speak to or exchange books, papers, calculators, etc. with other

More information

Design and Implementation of Block Based Transpose Form FIR Filter

Design and Implementation of Block Based Transpose Form FIR Filter Design and Ipleentation of Bloc Based Transpose For FIR Filter O. Venata rishna 1, Dr. C. Venata Narasihulu 2, Dr.. Satya Prasad 3 1 (ECE, CVR College of Engineering, Hyderabad, India) 2 (ECE, Geethanjali

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

A New Localization and Tracking Algorithm for Wireless Sensor Networks Based on Internet of Things

A New Localization and Tracking Algorithm for Wireless Sensor Networks Based on Internet of Things Sensors & Transducers 203 by IFSA http://www.sensorsportal.co A New Localization and Tracking Algorith for Wireless Sensor Networks Based on Internet of Things, 2 Zhang Feng, Xue Hui-Feng, 2 Zhang Yong-Heng,

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Power Optimal Signaling for Fading Multi-access Channel in Presence of Coding Gap

Power Optimal Signaling for Fading Multi-access Channel in Presence of Coding Gap Power Optial Signaling for Fading Multi-access Channel in Presence of Coding Gap Ankit Sethi, Prasanna Chaporkar, and Abhay Karandikar Abstract In a ulti-access fading channel, dynaic allocation of bandwidth,

More information

A NEW CMOS DIFFERENTIAL OTRA DESIGN FOR THE LOW VOLTAGE POWER SUPPLIES IN THE SUB-MICRON TECHNOLOGIES

A NEW CMOS DIFFERENTIAL OTRA DESIGN FOR THE LOW VOLTAGE POWER SUPPLIES IN THE SUB-MICRON TECHNOLOGIES A NEW CMOS DIFFERENTIAL OTRA DESIGN FOR THE LOW VOLTAGE POWER SUPPLIES IN THE SUB-MICRON TECHNOLOGIES Alper Duruk 1 Hakan Kuntan 2 e-ail: alper.duruk@st.co e-ail: kuntan@ehb.itu.edu.tr 1 ST Microelectronics

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Keywords: International Mobile Telecommunication (IMT) Systems, evaluating the usage of frequency bands, evaluation indicators

Keywords: International Mobile Telecommunication (IMT) Systems, evaluating the usage of frequency bands, evaluation indicators 2nd International Conference on Advances in Mechanical Engineering and Industrial Inforatics (AMEII 206) Entropy Method based Evaluation for Spectru Usage Efficiency of International Mobile Telecounication

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

Power Comparison of 2D, 3D and 2.5D Interconnect Solutions and Power Optimization of Interposer Interconnects

Power Comparison of 2D, 3D and 2.5D Interconnect Solutions and Power Optimization of Interposer Interconnects Power Coparison of 2D, 3D and 2.5D Interconnect Solutions and Power Optiization of Interposer Interconnects M Ataul Kari 1, Paul D. Franzon 2, Anil Kuar 3 1,2 North Carolina State University, 3 SEMATECH

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Energy-Efficient Cellular Communications Powered by Smart Grid Technology

Energy-Efficient Cellular Communications Powered by Smart Grid Technology Energy-Efficient Cellular Counications Powered by Sart Grid Technology Itiaz Nasi, Mostafa Zaan Chowdhury, and Md. Syadus Sefat Departent of Electrical and Electronic Engineering Khulna University of Engineering

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

Design of Multiplier using Low Power CMOS Technology

Design of Multiplier using Low Power CMOS Technology Page 203 Design of Multiplier using Low Power CMOS Technology G.Nathiya 1 and M.Balasubramani 2 1 PG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: nathiya.mani94@gmail.com

More information

Yield Enhancement Techniques for 3D Memories by Redundancy Sharing among All Layers

Yield Enhancement Techniques for 3D Memories by Redundancy Sharing among All Layers Yield Enhanceent Techniques for 3D Meories by Redundancy Sharing aong All Layers Joohwan Lee, Kihyun Park, and Sungho Kang Three-diensional (3D) eories using through-silicon vias (TSVs) will likely be

More information

A 1.2V rail-to-rail 100MHz amplifier.

A 1.2V rail-to-rail 100MHz amplifier. University of Michigan, EECS413 Final project. A 1.2V rail-to-rail 100MHz aplifier. 1 A 1.2V rail-to-rail 100MHz aplifier. Mark Ferriss, Junghwan Han, Joshua Jaeyoung Kang, University of Michigan. Abstract

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Selective Harmonic Elimination for Multilevel Inverters with Unbalanced DC Inputs

Selective Harmonic Elimination for Multilevel Inverters with Unbalanced DC Inputs Selective Haronic Eliination for Multilevel Inverters with Unbalanced DC Inputs Abstract- Selective haronics eliination for the staircase voltage wavefor generated by ultilevel inverters has been widely

More information

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION Mr. Snehal Kumbhalkar 1, Mr. Sanjay Tembhurne 2 Department of Electronics and Communication Engineering GHRAET, Nagpur, Maharashtra,

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

DSI3 Sensor to Master Current Threshold Adaptation for Pattern Recognition

DSI3 Sensor to Master Current Threshold Adaptation for Pattern Recognition International Journal of Signal Processing Systes Vol., No. Deceber 03 DSI3 Sensor to Master Current Threshold Adaptation for Pattern Recognition David Levy Infineon Austria AG, Autootive Power Train Systes,

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Secondary-side-only Simultaneous Power and Efficiency Control in Dynamic Wireless Power Transfer System

Secondary-side-only Simultaneous Power and Efficiency Control in Dynamic Wireless Power Transfer System 069060 Secondary-side-only Siultaneous Power and Efficiency Control in Dynaic Wireless Power Transfer Syste 6 Giorgio ovison ) Daita Kobayashi ) Takehiro Iura ) Yoichi Hori ) ) The University of Tokyo,

More information

Boris Krnic Nov 15, ECE 1352F. Phase Noise of VCOs

Boris Krnic Nov 15, ECE 1352F. Phase Noise of VCOs Boris Krnic Nov 15, 93 187 13 ECE 135F Phase Noise of VCOs. ABSTRACT The ain purpose of this paper is to present siplified first order noise analysis techniques as applied to ring VCOs. The scarcity of

More information

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime IJIRST International Journal for Innovative Research in Science & Technology Volume 1 Issue 12 May 2015 ISSN (online): 2349-6010 Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

Design of Ring Oscillator based VCO with Improved Performance

Design of Ring Oscillator based VCO with Improved Performance Abstract Design of Ring Oscillator based VCO with Iproved Perforance Vaishali, Shruti Suan, K.. Shara, P. K. hosh ECE Departent Faculty of Engineering and Technology Mody University of Science and Technology

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Allocation of Multiple Services in Multi-Access Wireless Systems

Allocation of Multiple Services in Multi-Access Wireless Systems Allocation of Multiple Serices in Multi-Access Wireless Systes Anders Furuskär Wireless@KTH, Royal Institute of Technology, Sweden and Ericsson Research anders.furuskar@era.ericsson.se Abstract This paper

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme

A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme Arun Kumar Sunaniya, PhD Scholar MANIT Bhopal arun.sunaniya@gmail.com Kavita Khare Associate professor

More information

WIPL-D Pro: What is New in v12.0?

WIPL-D Pro: What is New in v12.0? WIPL-D Pro: What is New in v12.0? Iproveents/new features introduced in v12.0 are: 1. Extended - Extree Liits a. Extreely LOW contrast aterials b. Extended resolution for radiation pattern c. Extreely

More information

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Shyam Sundar Sharma 1, Ravi Shrivastava 2, Nikhil Saxenna 3 1Research Scholar Dept. of ECE, ITM,

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Design of Multiplier Using CMOS Technology

Design of Multiplier Using CMOS Technology Design of Multiplier Using CMOS Technology 1 G. Nathiya, 2 M. Balasubaramani 1 PG student, Department of ECE, Vivekanandha College of engineering for women, Tiruchengode 2 AP/ /ECE student, Department

More information

AN OPTIMAL DESIGN PROCESS FOR AN ADEQUATE PRODUCT?

AN OPTIMAL DESIGN PROCESS FOR AN ADEQUATE PRODUCT? AN OPTIMAL DESIGN PROCESS FOR AN ADEQUATE PRODUCT? P. J. Clarkson University of Cabridge Departent of Engineering e-ail: pjc10@ca.ac.uk Keywords: process odelling, robustness, optiisation Abstract: The

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Additive Synthesis, Amplitude Modulation and Frequency Modulation

Additive Synthesis, Amplitude Modulation and Frequency Modulation Additive Synthesis, Aplitude Modulation and Frequency Modulation Pro Eduardo R Miranda Varèse-Gastproessor eduardo.iranda@btinternet.co Electronic Music Studio TU Berlin Institute o Counications Research

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com COMPARISON AMONG DIFFERENT INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN HARSHVARDHAN UPADHYAY* ABHISHEK CHOUBEY**

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Elements of Low Power Design for Integrated Systems

Elements of Low Power Design for Integrated Systems Elements of Low Power Design for Integrated Systems Sung-Mo (Steve) Kang Univ. of California, Santa Cruz Baskin School of Engineering 1156 High Street, Santa Cruz, CA 9564 kang@soe.ucsc.edu ABSTRACT The

More information

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach RESEARCH ARTICLE OPEN ACCESS High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach M.Sahithi Priyanka 1, G.Manikanta 2, K.Bhaskar 3, A.Ganesh 4, V.Swetha 5 1. Student of Lendi

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Kalman Filtering for NLOS Mitigation and Target Tracking in Indoor Wireless Environment

Kalman Filtering for NLOS Mitigation and Target Tracking in Indoor Wireless Environment 16 Kalan Filtering for NLOS Mitigation and Target Tracking in Indoor Wireless Environent Chin-Der Wann National Sun Yat-Sen University Taiwan 1. Introduction Kalan filter and its nonlinear extension, extended

More information

Certain Investigations on NAND Based Flip Flops for Glitch Avoidance Using Tanner

Certain Investigations on NAND Based Flip Flops for Glitch Avoidance Using Tanner Certain Investigations on NAND Based Flip Flops for Glitch Avoidance Using Tanner T.Suganya 1 PG scholar 1, Department of ECE, Nandha College of Technology, Erode Prof.S.P.Kesavan 2 Professor 2 Department

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 2, Ver. II (Mar.-Apr. 2017), PP 20-27 www.iosrjournals.org Cmos Full Adder and

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

DESIGN AND IMPLEMENTATION OF AN IMPROVED CHARGE PUMP USING VOLTAGE DOUBLER AS CLOCK SCHEME

DESIGN AND IMPLEMENTATION OF AN IMPROVED CHARGE PUMP USING VOLTAGE DOUBLER AS CLOCK SCHEME 380 DESIGN AND IMPLEMENTATION OF AN IMPROVED CHARGE PUMP USING VOLTAGE DOUBLER AS CLOCK SCHEME Tanu 1 M.E. Scholar, Electronics & Communication Engineering University Institute of Engineering, Punjab,

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

An Analysis for Power Minimization at Different Level of Abstraction to Optimize Digital Circuit

An Analysis for Power Minimization at Different Level of Abstraction to Optimize Digital Circuit An Analysis for Power Minimization at Different Level of Abstraction to Optimize Digital Circuit Vivechana Dubey, Ravimohan Sairam ABSTRACT This paper aims at presenting an innovative conceptual framework

More information

OPTIMIZE THE POWER CONTROL AND NETWORK LIFETIME USING ZERO - SUM GAME THEORY FOR WIRELESS SENSOR NETWORKS

OPTIMIZE THE POWER CONTROL AND NETWORK LIFETIME USING ZERO - SUM GAME THEORY FOR WIRELESS SENSOR NETWORKS OTIMIZE THE OWER CONTROL AND NETWORK LIFETIME USING ZERO - SUM GAME THEORY FOR WIRELESS SENSOR NETWORKS Vinoba.V 1, Chithra.S.M 1 Departent of Matheatics, K.N. Governent Arts college, Tail Nadu,( India.)

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 2 1.1 MOTIVATION FOR LOW POWER CIRCUIT DESIGN Low power circuit design has emerged as a principal theme in today s electronics industry. In the past, major concerns among researchers

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Design Methodologies for Low Power VLSI Architecture

Design Methodologies for Low Power VLSI Architecture Design Methodologies for Low Power VLSI Architecture Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India ABSTRACT:

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

ACCURATE DISPLACEMENT MEASUREMENT BASED ON THE FREQUENCY VARIATION MONITORING OF ULTRASONIC SIGNALS

ACCURATE DISPLACEMENT MEASUREMENT BASED ON THE FREQUENCY VARIATION MONITORING OF ULTRASONIC SIGNALS XVII IMEKO World Congress Metrology in 3rd Millenniu June 22 27, 2003, Dubrovnik, Croatia ACCURATE DISPLACEMENT MEASUREMENT BASED ON THE FREQUENCY VARIATION MONITORING OF ULTRASONIC SIGNALS Ch. Papageorgiou

More information

RAKE Receiver. Tommi Heikkilä S Postgraduate Course in Radio Communications, Autumn II.

RAKE Receiver. Tommi Heikkilä S Postgraduate Course in Radio Communications, Autumn II. S-72333 Postgraduate Course in Radio Counications, Autun 2004 1 RAKE Receiver Toi Heikkilä toiheikkila@teliasoneraco Abstract RAKE receiver is used in CDMA-based (Code Division Multiple Access) systes

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Transmit Power and Bit Allocations for OFDM Systems in a Fading Channel

Transmit Power and Bit Allocations for OFDM Systems in a Fading Channel Transit Power and Bit Allocations for OFD Systes in a Fading Channel Jiho Jang *, Kwang Bok Lee, and Yong-Hwan Lee * Sasung Electronics Co. Ltd., Suwon P.O.Box, Suwon-si, Gyeonggi-do 44-74, Korea School

More information

Intermediate-Node Initiated Reservation (IIR): A New Signaling Scheme for Wavelength-Routed Networks with Sparse Conversion

Intermediate-Node Initiated Reservation (IIR): A New Signaling Scheme for Wavelength-Routed Networks with Sparse Conversion Interediate-Node Initiated Reservation IIR): A New Signaling Schee for Wavelength-Routed Networks with Sparse Conversion Kejie Lu, Jason P. Jue, Tiucin Ozugur, Gaoxi Xiao, and Irich Chlatac The Center

More information

International Journal of Advanced Research in Electronics and Communication Engineering (IJARECE) Volume 3, Issue 9, September 2014

International Journal of Advanced Research in Electronics and Communication Engineering (IJARECE) Volume 3, Issue 9, September 2014 International Journal of Advanced Research in Electronics and Counication Engineering Volue 3, Issue 9, Septeber 2014 High Speed Error Detection and Data Recovery Architecture for Video Testing Applications

More information

Precise Indoor Localization System For a Mobile Robot Using Auto Calibration Algorithm

Precise Indoor Localization System For a Mobile Robot Using Auto Calibration Algorithm Precise Indoor Localization Syste For a Mobile Robot Using Auto Calibration Algorith Sung-Bu Ki, JangMyung Lee, and I.O. Lee : Pusan National University, http://robotics.ee.pusan.ac.r, : Ninety syste Abstract:

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s.

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. http:// DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. Shivam Mishra 1, K. Suganthi 2 1 Research Scholar in Mech. Deptt, SRM University,Tamilnadu 2 Asst.

More information