Crossover Ring Oscillator PUF

Size: px
Start display at page:

Download "Crossover Ring Oscillator PUF"

Transcription

1 International Symposium on Quality Electronic Design (ISQED) 27 Crossover Ring Oscillator PUF Zihan Pang,2,3, Jiliang Zhang 2, Qiang Zhou 3, Shuqian Gong 2, Xu Qian, Bin Tang 4 School of Mechanical Electronic and Information Engineering, China University of Mining and Technology (Beijing), Beijing, China 2 Software College, Northeastern University, Shenyang, China 3 Department of Computer Science and Technology, Tsinghua University, China 4 Guangdong Eshore Science and Technology Co., Ltd, Guangzhou, China zhangjl@mail.neu.edu.cn ( Corresponding author) Abstract Ring Oscillator (RO) Physical Unclonable Function (PUF) is one of the most popular silicon PUFs which exploit manufacturing variations during the chip fabrication process. RO PUF can generate secret bits by comparing the frequency difference between two ROs. However, previous RO PUFs improve flexibility and reliability through adding redundant ROs and thus incur unacceptable hardware overheads. In this work, we propose a crossover RO PUF to improve flexibility and reliability and reduce hardware overheads. The basic idea is to implement one-to-one input-output mapping with Lookup Table ()-based structure in each level of inverters. Experimental results show that our proposed structure has much lower hardware overheads and better uniqueness and reliability than the previous configurable RO PUFs. In addition, individual customization on configuration bits of structure and different RO selections by challenges bring high flexibility. Keywords Physical unclonable function (PUF), ring oscillator, flexibility I. Introduction With the increasing demands of security, privacy protection, and trustworthy computing, device authentication becomes one of the most challenging design concerns, particularly for systems such as smart cards, sensors, and s- mart phones where the lack of persistent power limits the duration of countermeasure enforcement []. Silicon physical unclonable function (PUF) emerged as a new hardware primitive provides a unique device-dependent mapping from a set of challenges to a set of responses based on the unclonable properties of the underlying physical device, which is a promising solution for device authentication in power-limited areas. Starting from 2, with the introduction of physical oneway functions [2] and the silicon physical random functions [3] [4], many kinds of PUF have been proposed such as ring oscillator (RO) PUF [5], arbiter PUF [6], SRAM PUF [7] and so on. RO PUF is based on the frequency difference among ROs to generate random responses. An RO is a simple circuit of a set of inverters connected in a loop with a particular frequency. The PUF generates logic- or logic- by comparing the frequencies of any two ROs. However, the delay difference caused by manufacturing process variation is sensitive to environment, which makes the PUF responses unreliable. In order to improve reliability, -outof-8 RO PUF was proposed [5]. The key idea is to select two ROs with maximal frequency difference among eight ROs. However, -bit response will waste six ROs, which incurs unacceptable hardware overheads. Later, Maiti et al. [8] proposed a configurable method to improve reliability and reduce hardware overheads. The basic idea is that challenges select different inverters of an RO at each stage to generate multiple instantiations of ROs in a basic configurable RO structure. Tang, Lin and Zhang [9] proposed a frequency offset-based reliability-enhancing technique for RO PUF. The key idea is to make the frequency difference larger than a given threshold by offsetting the frequencies of RO pairs to improve reliability. Gao et al. [] proposed another configurable RO PUF architecture which builds at inverter level to improve flexibility of the previous configurable RO PUF. These configurable RO PUFs still incur high hardware overheads because lots of inverters are wasted, and just produce a limited response bits. In order to address above issues, this work proposes a crossover RO PUF. The flexible crossover structure can not only generate more bits of PUF responses than the traditional configurable RO PUFs but also achieve the % u- tilization of inverters in ROs. The structure can choose different inverters in each level with input challenges. Moreover, our proposed crossover RO PUF can drastically mitigate the effect of environment on PUF responses and hence generate more reliable responses. We briefly present the background of reconfigurable PUFs and provide a comparative analysis about current configurable RO PUFs in Section II. Section III gives a detailed introduction about our proposed crossover RO PUFs. Section VI gives the experimental result and analysis. Finally, we conclude in Section V. II. Related work Unlike traditional PUFs exhibiting a static challenge/response behavior, reconfigurable PUF exhibits dynamic unpredictable challenge/response behavior. In many practical applications such as resisting FPGA replay attacks [], modeling attacks and man-in-the-middle attacks [2], we expect PUFs can exhibit the reconfigurable challenge/response behavior. The concept of reconfigurable arbiter PUF was first proposed by Lee et al [6]. They proposed to integrate a floating gate transistor into the

2 delay lines of an arbiter PUF to physically change the challenge/response behavior of the PUF based on a logical state maintained in non-volatile memory []. Lao and Parhi [3] proposed several reconfigurable silicon PUF structures to change the behavior of silicon PUF after deployment and also evaluated their reconfigurability by simulation. Recently, Zhang et al [] proposed to use reconfigurable PUFs to defeat the replay attack and tested two reconfigurable PUFs that exhibit high reconfigurability. Similar to reconfigurable PUFs, configurable RO PUF is introduced by Maiti and Schaumont [8]. As shown in Fig., the key idea is that a 2 multiplexer is used to select one out of two inverters at each stage of the RO. This technique uses the configurations with the largest delay difference to improve the PUF reliability. Another highly flexible configurable RO PUF was proposed by Gao et al []. It is built at inverter level to improve the reliability of RO PUFs. The configurations for a pair of ROs would choose the largest delay difference to generate reliable PUF output. For these reconfigurable/configurable PUFs, the utilization ratio of multiplexers added in ROs is low, and the inverters are not fully used in some configuration. Challenge Fig.. Configurable RO PUF proposed in [8] Challenge Fig. 2. Configurable RO PUF proposed in [] As discussed above, to improve reliability, the existing configurable RO PUFs still incur high hardware overheads and hence make them difficult to be deployed in practice. III. Crossover RO PUF In order to improve reliability and resist potential attacks such as FPGA replay attacks, modeling attacks and man-in-the-middle attacks, we proposed a crossover RO PUF that has advantages over the previous configurable RO PUFs in terms of flexibility and reliability. Considering -out-of-n coding, an RO PUF is comprised of many ROs and the multiplexers select two of them to be compared with the comparator []. The configurable RO PUFs [] only use single RO pairs to implement configurability. Our proposed crossover RO PUF structure is much more flexible because we select every inverter from multiple RO pairs with Lookup Tables (s). n ROs S S 2 S m- Fig. 3. m levels Mux Challenge Crossover RO PUF structure A. The Architecture of Crossover RO PUF Counter > Counter Output or Fig.3 depicts the crossover architecture that shows the flexibility of selecting inverters in ROs. The crossover RO PUF has n ROs and m levels of inverters. Each RO consists of m inverters with a particular frequency. For m levels of inverters, the outputs of previous inverter level are fed as the inputs to the next inverter level after. The determines the routing path of step signals inputted without any additional logical operation. There are m- to change the configuration of the delay loop with selection inputs. As shown in Fig.3, configuration selection S = (S, S 2,..., S i,..., S m ), where S i has log 2 n bits and determines the connection order of inverter to the next inverter level in i-th stage. The number of possible different configurations of the delay loops is (A n n) m 2. The level m must be an odd number and m > 2 in order to make the delay loop form the oscillation, and it can determine the frequency level of the RO. The larger m which means more inverters in RO exhibits lower frequencies. Compared with the flexible configurable RO PUF [], we don t need to add any constraints on input challenges to ensure that the number of each RO is the same so that the frequency differences between them are caused by the differences in random manufacturing processes. Under the precondition of ensuring a one-to-one mapping, the connection of inverters can be customized by the designers and users. After selecting inverters in each level, we can get a group of fixed sequence of RO pairs. Any two of ROs chosen by the challenge through multiplexers are connected to the clock input ports of the two counters and obtain -bit PUF response by comparing the values read from the two counters within a period of time. The arbiter generates a logical or for this chosen RO pair depending on which RO has the higher frequency. By choosing different inverters to build ROs with selection input challenges, the delay difference for each pair of RO will generate more bits.

3 B. Interstage crossing a =3 a 2 =6 a 3 =8 a 4 =7 a 5 =5 RO SRAM Input A-D A A A 2 S Output A Output B RO 2 RO 3 b =9 c =5 d =2 b 2 =7 b 3 =4 b 4 =5 b 5 =5 c 2 =4 c 3 =6 c 4 =6 c 5 =5 d 2 =5 d 3 =6 d 4 =4 d 5 =3 output S 2 S 3 Output C RO 4 Fig crossover RO PUF structure 3 Input (a) Fig. 4. S 4 (b) -based network Output D In this section, we introduce a low overhead and high flexibility with s. Fig.4(a) shows the internal structure of a 3-input. An n-input can be configured to implement any n-input logic function. For example, SRAM can be set with in initialization phase to implement the function A A 2 + A Ā 2, and set with to implement Ā A 2 + A A 2. By configuring SRAM, we can easily get the logic function required in. Fig.4(b) gives an example of a 4-bit crossing network with 6-input s. Each takes A, B, C, D as 4-bit inputs and the rest two inputs as the selection imports. If the selection bits are configured as,,,, the output of the s will be A,B,C,D. In the same way, the output of the s will be shuffled as B,C,D,A when selection bits are,,,. Since the data inputs and outputs of all the interstage crossing must form a one-to-one mapping, no duplicated outputs are allowed in the network, even though the selection bits can be the same. A trusted party can set different values when configuring SRAM. And the selection bits for individual placements can be decided by the designers or users. Considering the influence of delay added in interstage crossing, the existing FPGA design tools can minimize the delay-skew between a pair of routes, but they do not guarantee the structural symmetry [4]. For example, the multiplexers and inverters in a configurable RO PUF will be connected to the switch matrix which uses routes with different lengths depending on the individual placements. So if the manufacturing process variation is not sufficient to offset it, the structure could make entire PUF circuit be highly biased. Our method can set all connections of inverters by configuring SRAM without impact the routing in switch matrixes. It means -based has high flexibility to improve PUF reliability. C. Flexibility and reliability Our proposed crossover RO PUF can get greater frequency differences between ROs than previous reconfigurable PUFs and hence generate more reliable PUF responses. In what follows, we give an example to explain the advantage. As shown in Fig.5, consider 4 5 ROs have 4 rows inverters, RO to RO 4, and each consists of 5 inverters. Assuming the delays of these inverters are: {a = 3, a 2 = 6, a 3 = 8, a 4 = 7, a 5 = 5}, {b = 9, b 2 = 7, b 3 = 4, b 4 = 5, b 5 = 5}, {c = 5, c 2 = 4, c 3 = 6, c 4 = 6, c 5 = 5}, {d = 2, d 2 = 5, d 3 = 6, d 4 = 4, d 5 = 3},where a i to d i denote the delay of the i-th inverter from RO to RO 4, respectively. The total delays of four ROs are: D RO = = 29 D RO2 = = 3 D RO3 = = 26 D RO4 = = 2 When using decoupled neighbor coding, RO is units of time slower than RO 2, and RO 3 is 6 units of time faster than RO 4. The delay difference can be up to units of time with -out-of-n coding method [5]. Generally, a large delay difference can generate a reliable bit. With the ingenious selection in crossover structure, {b, b 2, a 3, a 4, a 5 }, {a, d 2, c 3, b 4, b 5 }, {c, a 2, d 3, c 4, c 5 } and {d, c 2, b 3, d 4, d 5 } are used to build RO to RO 4. The delay difference becomes 2 (RO and RO 2 ) and (RO 3 and RO 4 ) units of time. The largest delay difference is 9 units of time, which is about twice as large as the delay difference when there is no in the ROs. The delay of each inverter is unpredictable due to fabrication variation. Any inverter in an RO is faster or slower than the inverter at the same position in another RO with equal probability. In the above example, although {a, a 2 } in RO is s- lower than {b, b 2 } in RO 2, the total delay difference will be reduced when including the rest inverters. When reconfiguring RO with the, we can choose inverters ingeniously to increase the gap of total delay between two ROs, which makes the outputs more reliable. D. Security Analysis Since and inverters are independent of each other, adversaries cannot get any delay information

4 of inverters through getting the configuration in interstage crossing. Even though they can get all configuration bits from the SRAM of s in, they still don t know which inverter will be selected in RO without any selection information. Side channel attacks statistically analyze the time, power consumption or electromagnetic emanation of the cryptographic devices to gain knowledge about integrated secrets. Most recently, Merli et al. carried out side channel attacks (EM analyses) on an RO PUF FPGA implementation leading to the extraction of a full PUF model and thereby breaking the PUFs security [5]. The authors also point that their proposed attack can be successful because they exploit that each RO has a fixed location and a specific measurement path through a multiplexer to a counter. In this paper, we can dynamically change the inverters of ROs with different configuration data to generate unclonable bit string, which makes each RO having no fixed physical location and therefore the our proposed crossover RO potentially provides a new solution to resist side channel attacks. Moreover, the security can be enhanced by increasing the number of inverters in ROs and levels of ROs. Besides, the generated response bits can be XORed with the input challenge, and the result can be used as the challenge configuration for next time. IV. Experimental results The experiments to evaluate the effectiveness of crossover RO PUF are conducted based on the Virginia Tech s public PUF dataset [6]. This dataset consist of frequency of ROs from 98 Xilinx Spartan (XC3S5E) FPGA boards. Since this dataset only has the frequency of ROs without individual inverters. We can treat each RO as an inverter in our experimentation due to the lack of public data on delay at inverter level. Among the 98 boards, 94 boards measure the frequencies of ROs at the temperature 25 C and the supply voltage of.2v. The other five boards measure the frequencies at varying supply temperatures and voltages. The ranges of temperatures are 25 C, 35 C, 45 C, 55 C and 65 C. The supply voltages are.96v,.8v,.2v,.32v, and.44v. We use the frequency dataset from five boards (D59546, D372, D3938, D22558 and D22559) to compare the hardware efficiency, uniqueness and reliability for traditional neighbor coding method, rpuf in [] and our proposed crossover RO PUF method, respectively. A. Hardware Overhead The total number of configurations is determined by the number of inverters in ROs and levels of ROs in the crossover RO PUF structure. There are (A n n) m 2 configurations in n ROs when each RO has m (m must be odd and m > 2) level inverters. For the rpuf which has n ROs and m levels of inverters, the number of possible different configurations of the delay loops is 2j+ i=3 (Ci m ).5n, where i must be odd and j must be integer. As shown in TABLE I and TABLE II, when the number of ROs is 8 and the levels of each RO is 9, the total number of configurations of crossover RO PUF reaches.73e+32 which is 5.7E+23 times larger than rpuf. We can see from TA- BLE I that the total number of configurations grows exponentially with the increasing of m and n, which provides a simple way to increase the PUF response bits. TABLE I Number of configurations for crossover RO PUF m n = 2 n = 4 n = 6 n = E E E+4.7E E+9.E+2.73E+32 The RO PUF usually consists of some basic components such as ROs, multiplexers, counters, comparators and so on. We use the reliable bits per NAND gate to evaluate the hardware overhead of each RO PUF. We can calculate the total overhead of each RO PUF with the overhead of components in TABLE III. Decouple neighbor coding uses 52 ROs to form 256 RO pairs; the overhead is 256 ( ) = The rpuf method uses 4 5-level ROs; the overhead is 4 ( ) = Our crossover RO PUF uses 4 3-level ROs; the overhead consists of the general (49+3) = 244. TABLE II Number of configurations for rpuf m n = 2 n = 4 n = 6 n = E+8 TABLE III Hardware overhead of the logic elements in the design Logic Element Overhead in terms of NAND gates 5-stage ring oscillator 5 2-to- MUX 9 4-to- MUX 3 8-to- MUX 2 7-stage ripple counter 49 Fig.6 denotes the comparison of hardware efficiency of three methods. The hardware efficiency is denoted by the number of bits generated by per NAND gate. As shown in Fig.6, more hardware resource would be used when the criterion on frequency difference for acquiring reliable ones is tightened, and crossover RO PUF method is obviously more efficient than the other two methods.

5 between any two pairs was 25.4 (49.%), which is relatively close to the ideal value 5%. TABLE IV Average HD with five boards at U=.2V Method 25 C 35 C 45 C 55 C 65 C Neighbor rpuf Crossover RO Fig. 6. Comparison of hardware efficiency TABLE V Average HD with five boards at T=25 C Method.96V.8V.2V.32V.44V Neighbor rpuf Crossover RO We get the PUF outputs at different temperature and voltage levels. TABLE.IV gives the average HD in different temperature with U =.2V. TABLE V shows the average HD in different voltage with T = 25 C. We can see from Fig.7, TABLE IV and TABLE V that our proposed crossover RO PUF has high uniqueness and hence it is unlikely for any two PUFs to generate the same response. Fig. 7. HD of crossover PUF on five boards (T=25 C and U=.2V) B. Uniqueness The uniqueness shows that different chip will have distinct PUF output, and determines the quality of the PUF. Since the output information of PUF will be used for many security applications, it is not acceptable if different PUFs produce the same or similar responses when fed with the same challenge. Hamming distance (HD) are used to evaluate PUF response s uniqueness. H D(P i, P j ) = n (r i,m r j,m ) () m= where r i,m is the m-th bit of n-bit samples for PUF response. For a pair of PUFs: P i and P j (i j) that both generate n-bit responses, their average HD will be calculated as follows. u = k 2 k(k ) k i= j=i+ H D(P i, P j ) n % (2) In the experiments, we extract a hundred of 256-bit outputs in each of five boards under 25 C and.2v. Fig.7 shows the histogram of the inter-chip HD. The average HD C. Reliability Reliability is used to measure the stability of PUF response in various environments. Ideally, the difference between any two responses generated by a PUF under the same challenge in repeated experiments should remain the same. Due to factors such as ambient temperature variation and supply voltage fluctuation where these factors may affect circuit delay in practice, the PUF responses may be unreliable. The following formula is used to evaluate the reliability of PUFs []: r = x x y= H D(R i, R i,y ) n % (3) where x is the number of samples for PUF response; R i is the response extracted from the board i; n is the number of generated response bits by the PUF; and H D(R i, R i,y ) denotes the HD between the response R i and the y-th sampling R i,y. The temperature variation plays very important role to the PUF performance in normal using scenarios, because it is an effective factor to affect the circuit delay. In this paper, we select the temperature and voltage as the effecting environmental factors to verify the PUF performance. For each 256-bit PUF on each board, we computed the HD between responses at various temperatures and voltages. As shown in Fig.8, 92% of the responses were changed by ten or fewer bits when the range of temperature is 35 C to 65 C, and no response experienced more than 2 bit flips. The average is 3.33 (.3% of the total number of bits

6 Fig. 8. HD of crossover PUF output bits with temperature varies at U=.2V As shown in Fig.9, the average distance between any two pairs under the range of voltages is quite similar to that of Fig.8. The average HD increases from 3.33 to 3.6, and the maximum HD from 2 to 8. TABLE VII shows the average HD with varying voltages comparison for three methods. Comparing TABLE VII with TABLE VI, we can see that the voltage factor have more influence on the PUF reliability than the temperature. However, comparing Fig.9 with Fig.8, we can see that the gap still exists in the distributions between 2 9 bits, which means the PUF proposed in the paper can still work effectively. As shown in TABLE VI and TABLE VII, our crossover RO PUF method has a better reliability than the other two methods in tolerating the temperature and voltage varies. Fig. 9. T=25 C HD of crossover PUF output bits with voltage varies at Fig.. Comparison of reliable RO pairs 256). More details of comparison under different temperature are reported in TABLE VI. Comparing the Fig.7 with Fig.8, we can see a large gap in the distributions roughly between 2 9 bits, which demonstrates that our proposed crossover RO PUF method can be effective for device authentication and anti-counterfeiting. TABLE VI Average HD with temperature varies at U=.2V Method 35 C 45 C 55 C 65 C Neighbor rpuf Crossover RO TABLE VII Average HD with voltage varies at T=25 C Method.96V.8V.32V.44V Neighbor rpuf Crossover RO Fig. gives the reliability trend of RO PUF with various temperatures (25 C to 65 C) when the voltage U =.2V. It is shown that our proposed method has better reliability with threshold factor increasing. V. Conclusion In this paper, we propose a new configurable RO PUF which can effectively improve the reliability and increase hardware efficiency. By selecting different inverters in ROs, the frequency difference between two ROs will be larger than the threshold, and hence generate reliable responses. Compared to the previous configurable RO PUFs, the experiment results on public RO PUF data show that our proposed crossover RO PUF has higher reliability and hardware efficiency. VI. Acknowledgements This work is supported by the National Natural Science Foundation of China under Grant No. 6627, References [] J. Zhang, G. Qu, Y. Lv, and Q. Zhou, A survey on silicon pufs and recent advances in ring oscillator pufs, Journal of Computer Science and Technology, vol. 29, no. 4, pp , 24.

7 [2] G. Hammouri, E. ztrk, and B. Sunar, A tamper-proof and lightweight authentication scheme, Pervasive and Mobile Computing, vol. 4, no. 6, pp , 28. [3] S. S. Kumar, J. Guajardo, R. Maes, G. J. Schrijen, and P. Tuyls, Extended abstract: The butterfly puf protecting ip on every fpga, in IEEE International Workshop on Hardware-Oriented Security and Trust, 28, pp [4] J. H. Anderson, A puf design for secure fpga-based embedded systems, in Asia South Pacific Design Automation Conference, Asp-Dac 2, Taipei, Taiwan, January, 2, pp. 6. [5] G. E. Suh and S. Devadas, Physical unclonable functions for device authentication and secret key generation, in Proceedings of the 44th annual Design Automation Conference, 27, pp [6] D. Lim, J. W. Lee, B. Gassend, G. E. Suh, M. Van Dijk, and S. Devadas, Extracting secret keys from integrated circuits, IEEE Transactions on Very Large Scale Integration Systems, vol. 3, no., pp. 2 25, 25. [7] M. S. Kim, D. I. Moon, S. K. Yoo, and S. H. Lee, Investigation of physically unclonable functions using flash memory for integrated circuit authentication, IEEE Transactions on Nanotechnology, vol. 4, no. 2, pp , 25. [8] A. Maiti and P. Schaumont, Improved ring oscillator puf: An fpga-friendly secure primitive, Journal of Cryptology, vol. 24, no. 2, pp , 2. [9] B. Tang, Y. Lin, and J. Zhang, Improving the reliability of ro puf using frequency offset, in 3th International Conference on Field Programmable Technology, 24, pp [] M. Gao, K. Lai, and G. Qu, A highly flexible ring oscillator puf, in 24 5st ACM/EDAC/IEEE Design Automation Conference (DAC), 24, pp. 6. [] J. Zhang, Y. Lin, and G. Qu, Reconfigurable binding against fpga replay attacks, ACM Transactions on Design Automation of Electronic Systems, vol. 2, no. 2, pp. 2, 25. [2] M. Majzoobi, F. Koushanfar, and M. Potkonjak, Techniques for design and implementation of secure reconfigurable pufs, ACM Transactions on Reconfigurable Technology and Systems, vol. 2, no., pp. 33, 29. [3] Y. Lao and K. K. Parhi, Statistical analysis of mux-based physical unclonable functions, IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems, vol. 33, no. 5, pp , 24. [4] M. Majzoobi, F. Koushanfar, and S. Devadas, Fpga puf using programmable delay lines, in 2 IEEE International Workshop on Information Forensics and Security, 2, pp. 6. [5] D. Merli, J. Heyszl, B. Heinz, D. Schuster, F. Stumpf, and G. Sigl, Localized electromagnetic analysis of ro pufs, in IEEE International Symposium on Hardware-Oriented Security and Trust, 23, pp [6] A. Maiti and P. Schaumont, Research on physical unclonble functions (pufs) at ses lab, Virginia Tech, 2.

Ring Oscillator PUF Design and Results

Ring Oscillator PUF Design and Results Ring Oscillator PUF Design and Results Michael Patterson mjpatter@iastate.edu Chris Sabotta csabotta@iastate.edu Aaron Mills ajmills@iastate.edu Joseph Zambreno zambreno@iastate.edu Sudhanshu Vyas spvyas@iastate.edu.

More information

FPGA PUF based on Programmable LUT Delays

FPGA PUF based on Programmable LUT Delays FPGA PUF based on Programmable LUT Delays Bilal Habib, Kris Gaj, Jens-Peter Kaps Electrical and Computer Engineering Department George Mason University Fairfax, VA, USA Email: {bhabib,kgaj,jkaps}@gmu.edu

More information

Ring Oscillator Physical Unclonable Function with Multi Level Supply Voltages

Ring Oscillator Physical Unclonable Function with Multi Level Supply Voltages Ring Oscillator Physical Unclonable Function with Multi Level Supply Voltages Shohreh Sharif Mansouri and Elena Dubrova Department of Electronic Systems, School of ICT, KTH - Royal Institute of Technology,

More information

ON DESIGN OF PUF-BASED RANDOM NUMBER GENERATORS

ON DESIGN OF PUF-BASED RANDOM NUMBER GENERATORS ON DESIGN OF PUF-BASED RANDOM NUMBER GENERATORS Mehdi Ayat 1, Reza Ebrahimi Atani 2, Sattar Mirzakuchaki 1 1 Department of Electrical Engineering, Iran University of Science and Technology, Tehran, Iran

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 183 Fpga Chip Identificaton Generator Using Digital Clock Manager S.Rexlin Leveena* *( M.E VLSI Design, Srinivasan

More information

Efficient SR-Latch PUF

Efficient SR-Latch PUF Efficient SR-Latch PUF Bilal Habib, Jens-Peter Kaps, Kris Gaj Electrical and Computer Engineering Department George Mason University Fairfax, VA, USA Email: {bhabib, jkaps, kgaj}@gmu.edu Abstract. In this

More information

A Large Scale Characterization of RO-PUF

A Large Scale Characterization of RO-PUF A Large Scale Characterization of RO-PUF Abhranil Maiti, Jeff Casarona, Luke McHale, Patrick Schaumont Electrical and Computer Engineering Department Virginia Tech Blacksburg, VA, USA email : { abhranil,

More information

Improved Ring Oscillator PUF: An FPGA-friendly Secure Primitive

Improved Ring Oscillator PUF: An FPGA-friendly Secure Primitive J. Cryptol. (2011) 24: 375 397 DOI: 10.1007/s00145-010-9088-4 Improved Ring Oscillator PUF: An FPGA-friendly Secure Primitive Abhranil Maiti and Patrick Schaumont Secure Embedded Systems Lab, Bradley Department

More information

Reliable Delay Based Algorithm to Boost PUF Security Against Modeling Attacks

Reliable Delay Based Algorithm to Boost PUF Security Against Modeling Attacks The University of Southern Mississippi The Aquila Digital Community Faculty Publications 9-3-2018 Reliable Delay Based Algorithm to Boost PUF Security Against Modeling Attacks Fathi Amsaad University of

More information

Robust and Flexible FPGA-based Digital PUF

Robust and Flexible FPGA-based Digital PUF Robust and Flexible FPGA-based Digital PUF Teng Xu and Miodrag Potkonjak Computer Science Department University of California, Los Angeles {xuteng, miodrag}@cs.ucla.edu Abstract We have developed the first

More information

Ring Oscillator and its application as Physical Unclonable Function (PUF) for Password Management

Ring Oscillator and its application as Physical Unclonable Function (PUF) for Password Management arxiv:1901.06733v1 [cs.cr] 20 Jan 2019 Ring Oscillator and its application as Physical Unclonable Function (PUF) for Author: January, 2019 Contents 1 Physical Unclonable Function (PUF) 2 1.1 Methods to

More information

Study of Physical Unclonable Functions at Low Voltage on FPGA

Study of Physical Unclonable Functions at Low Voltage on FPGA Study of Physical Unclonable Functions at Low Voltage on FPGA Kanu Priya Thesis submitted to the faculty of the Virginia Polytechnic Institute and State University in partial fulfillment of the requirements

More information

Secure Multi-Key Generation Using Ring Oscillator based Physical Unclonable Function

Secure Multi-Key Generation Using Ring Oscillator based Physical Unclonable Function Secure Multi-Key Generation Using Ring Oscillator based Physical Unclonable Function Venkata P. Yanambaka epartment of Computer Science and Engineering University of North Texas, USA. Email: venkataprasanthyanambaka@my.unt.edu

More information

MECCA: A Robust Low-Overhead PUF using Embedded Memory Array

MECCA: A Robust Low-Overhead PUF using Embedded Memory Array MECCA: A Robust Low-Overhead PUF using Embedded Memory Array Aswin Raghav Krishna, Seetharam Narasimhan, Xinmu Wang, and Swarup Bhunia Case Western Reserve University, Cleveland OH-44106, USA ark70@case.edu

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Novel Physical Unclonable Function with Process and Environmental Variations

Novel Physical Unclonable Function with Process and Environmental Variations Novel Physical Unclonable Function with Process and Environmental Variations Xiaoxiao Wang and Mohammad Tehranipoor ECE Dept, University of Connecticut, {xwang,tehrani}@engr.uconn.edu Abstract Physical

More information

Exploiting Power Supply Ramp Rate for Calibrating Cell Strength in SRAM PUFs

Exploiting Power Supply Ramp Rate for Calibrating Cell Strength in SRAM PUFs Exploiting Power Supply Ramp Rate for Calibrating Cell Strength in SRAM PUFs Wendong Wang, Adit Singh, Ujjwal Guin, Abhijit Chatterjee Department of Electrical and Computer Engineering, Auburn University,

More information

ABSTRACT. Lightweight Silicon-based Security Concept, Implementations, and Protocols. Mehrdad Majzoobi

ABSTRACT. Lightweight Silicon-based Security Concept, Implementations, and Protocols. Mehrdad Majzoobi ABSTRACT Lightweight Silicon-based Security Concept, Implementations, and Protocols by Mehrdad Majzoobi Advancement in cryptography over the past few decades has enabled a spectrum of security mechanisms

More information

A Physical Unclonable Function Based on Inter- Metal Layer Resistance Variations and an Evaluation of its Temperature and Voltage Stability

A Physical Unclonable Function Based on Inter- Metal Layer Resistance Variations and an Evaluation of its Temperature and Voltage Stability University of New Mexico UNM Digital Repository Electrical and Computer Engineering ETDs Engineering ETDs 2-13-2014 A Physical Unclonable Function Based on Inter- Metal Layer Resistance Variations and

More information

Applications Of Physical Unclonable Functions on ASICS and FPGAs

Applications Of Physical Unclonable Functions on ASICS and FPGAs University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses Dissertations and Theses 2018 Applications Of Physical Unclonable Functions on ASICS and FPGAs Mohammad Usmani University of

More information

Detection and Avoidance Measures of IC Counterfeits: A Survey

Detection and Avoidance Measures of IC Counterfeits: A Survey AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Detection and Avoidance Measures of IC Counterfeits: A Survey 1 Anju Boby, 2 Dr.G. Mohanbabu

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

ScanPUF: Robust Ultralow-Overhead PUF Using Scan Chain

ScanPUF: Robust Ultralow-Overhead PUF Using Scan Chain ScanPUF: Robust Ultralow-Overhead PUF Using Scan Chain Yu Zheng Aswin Raghav Krishna Swarup Bhunia Department of EECS Department of EECS Department of EECS Case Western Reserve Univ. Case Western Reserve

More information

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Muhammad Umar Karim Khan Smart Sensor Architecture Lab, KAIST Daejeon, South Korea umar@kaist.ac.kr Chong Min Kyung Smart

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

Device specific key generation technique for anticounterfeiting physically unclonable functions and artificial intelligence

Device specific key generation technique for anticounterfeiting physically unclonable functions and artificial intelligence The University of Toledo The University of Toledo Digital Repository Theses and Dissertations 2012 Device specific key generation technique for anticounterfeiting methods using FPGA based physically unclonable

More information

II. RC4 Cryptography is the art of communication protection. This art is scrambling a message so it cannot be clear; it

II. RC4 Cryptography is the art of communication protection. This art is scrambling a message so it cannot be clear; it Enhancement of RC4 Algorithm using PUF * Ziyad Tariq Mustafa Al-Ta i, * Dhahir Abdulhade Abdullah, Saja Talib Ahmed *Department of Computer Science - College of Science - University of Diyala - Iraq Abstract:

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

TRUE random number generators (TRNGs) have become

TRUE random number generators (TRNGs) have become 452 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 4, APRIL 2017 An Improved DCM-Based Tunable True Random Number Generator for Xilinx FPGA Anju P. Johnson, Member, IEEE, Rajat

More information

Physical Characterization of Arbiter PUFs

Physical Characterization of Arbiter PUFs Physical Characterization of Arbiter PUFs Shahin Tajik 1, Enrico Dietz 2, Sven Frohmann 2, Jean-Pierre Seifert 1, Dmitry Nedospasov 1, Clemens Helfmeier 3, Christian Boit 3, Helmar Dittrich 2 1 Security

More information

A Multiplexer-Based Digital Passive Linear Counter (PLINCO)

A Multiplexer-Based Digital Passive Linear Counter (PLINCO) A Multiplexer-Based Digital Passive Linear Counter (PLINCO) Skyler Weaver, Benjamin Hershberg, Pavan Kumar Hanumolu, and Un-Ku Moon School of EECS, Oregon State University, 48 Kelley Engineering Center,

More information

An Efficent Real Time Analysis of Carry Select Adder

An Efficent Real Time Analysis of Carry Select Adder An Efficent Real Time Analysis of Carry Select Adder Geetika Gesu Department of Electronics Engineering Abha Gaikwad-Patil College of Engineering Nagpur, Maharashtra, India E-mail: geetikagesu@gmail.com

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Variety Uniqueness Enhancement of PUF Responses Based on the Locations of Random Outputting RS Latches

Variety Uniqueness Enhancement of PUF Responses Based on the Locations of Random Outputting RS Latches CHES 2011 Nara, Japan Sep. 28 - Oct. 1 Variety Uniqueness Enhancement of PUF Responses Based on the Locations of Random Outputting RS Latches Fujitsu Laboratories Ltd., Japan Dai Yamamoto Collaborator:

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A PUF based on a transient effect ring oscillator and insensitive to locking phenomenon

A PUF based on a transient effect ring oscillator and insensitive to locking phenomenon Copyright (c) 213 IEEE. Personal use is permitted. For any other purposes, permission must be obtained from the IEEE by emailing A PUF based on a transient effect ring oscillator and insensitive to locking

More information

Nonlinear Multi-Error Correction Codes for Reliable MLC NAND Flash Memories Zhen Wang, Mark Karpovsky, Fellow, IEEE, and Ajay Joshi, Member, IEEE

Nonlinear Multi-Error Correction Codes for Reliable MLC NAND Flash Memories Zhen Wang, Mark Karpovsky, Fellow, IEEE, and Ajay Joshi, Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 7, JULY 2012 1221 Nonlinear Multi-Error Correction Codes for Reliable MLC NAND Flash Memories Zhen Wang, Mark Karpovsky, Fellow,

More information

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA Shruti Dixit 1, Praveen Kumar Pandey 2 1 Suresh Gyan Vihar University, Mahaljagtapura, Jaipur, Rajasthan, India 2 Suresh Gyan Vihar University,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Power Efficient Optimized Arithmetic and Logic Unit Design on FPGA

Power Efficient Optimized Arithmetic and Logic Unit Design on FPGA From the SelectedWorks of Innovative Research Publications IRP India Winter December 1, 2014 Power Efficient Optimized Arithmetic and Logic Unit Design on FPGA Innovative Research Publications, IRP India,

More information

Asynchronous physical unclonable function using FPGA-based self-timed ring oscillator

Asynchronous physical unclonable function using FPGA-based self-timed ring oscillator The University of Toledo The University of Toledo Digital Repository Theses and Dissertations 2013 Asynchronous physical unclonable function using FPGA-based self-timed ring oscillator Roshan Silwal The

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Phase Calibrated Ring Oscillator PUF Design and Application

Phase Calibrated Ring Oscillator PUF Design and Application computers Article Phase Calibrated Ring Oscillator PUF Design and Application Wei Yan ID and John Chandy * ID Department of Electrical and Computer Engineering, University of Connecticut, Storrs, CT 06269,

More information

A Reliable Low-area Low-power PUF-based Key Generator

A Reliable Low-area Low-power PUF-based Key Generator A Reliable Low-area Low-power PUF-based Key Generator Christoph Böhm, Marco Bucci, Maximilian Hofer, Raimondo Luzzi Infineon Technologies AG Babenbergerstrasse, A-82 Graz, AUSTRIA Abstract This paper reports

More information

International Journal of Emerging Technology and Advanced Engineering Website: (ISSN , Volume 2, Issue 7, July 2012)

International Journal of Emerging Technology and Advanced Engineering Website:  (ISSN , Volume 2, Issue 7, July 2012) Parallel Squarer Design Using Pre-Calculated Sum of Partial Products Manasa S.N 1, S.L.Pinjare 2, Chandra Mohan Umapthy 3 1 Manasa S.N, Student of Dept of E&C &NMIT College 2 S.L Pinjare,HOD of E&C &NMIT

More information

Fine-Grained Characterization of Process Variation in FPGAs

Fine-Grained Characterization of Process Variation in FPGAs Fine-Grained Characterization of Process Variation in FPGAs Haile Yu 1, Qiang Xu 1 and Philip H.W. Leong 1 Department of Computer Science and Engineering, The Chinese University of Hong Kong {hlyu,qxu}@cse.cuhk.edu.hk

More information

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit Design of Sub-0-Picoseconds On-Chip Time Measurement Circuit M.A.Abas, G.Russell, D.J.Kinniment Dept. of Electrical and Electronic Eng., University of Newcastle Upon Tyne, UK Abstract The rapid pace of

More information

Implementation of Space Time Block Codes for Wimax Applications

Implementation of Space Time Block Codes for Wimax Applications Implementation of Space Time Block Codes for Wimax Applications M Ravi 1, A Madhusudhan 2 1 M.Tech Student, CVSR College of Engineering Department of Electronics and Communication Engineering Hyderabad,

More information

Design and evaluation of a delay-based FPGA physically unclonable function

Design and evaluation of a delay-based FPGA physically unclonable function Graduate Theses and Dissertations Graduate College 2012 Design and evaluation of a delay-based FPGA physically unclonable function Aaron Mills Iowa State University Follow this and additional works at:

More information

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach Technology Volume 1, Issue 1, July-September, 2013, pp. 41-46, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using

More information

Design of an optimized multiplier based on approximation logic

Design of an optimized multiplier based on approximation logic ISSN:2348-2079 Volume-6 Issue-1 International Journal of Intellectual Advancements and Research in Engineering Computations Design of an optimized multiplier based on approximation logic Dhivya Bharathi

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

A Robust Oscillator for Embedded System without External Crystal

A Robust Oscillator for Embedded System without External Crystal Appl. Math. Inf. Sci. 9, No. 1L, 73-80 (2015) 73 Applied Mathematics & Information Sciences An International Journal http://dx.doi.org/10.12785/amis/091l09 A Robust Oscillator for Embedded System without

More information

QCA Based Design of Serial Adder

QCA Based Design of Serial Adder QCA Based Design of Serial Adder Tina Suratkar Department of Electronics & Telecommunication, Yeshwantrao Chavan College of Engineering, Nagpur, India E-mail : tina_suratkar@rediffmail.com Abstract - This

More information

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, 27-30 May 2007. This material is posted here with permission of the IEEE. Such permission of the IEEE

More information

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 8 CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 6.1 INTRODUCTION In this part of research, a proto type model of FPGA based nine level cascaded inverter has been fabricated to improve

More information

Webpage: Volume 3, Issue V, May 2015 ISSN

Webpage:  Volume 3, Issue V, May 2015 ISSN Design of power efficient 8 bit arithmetic and logic unit on FPGA using tri-state logic Siddharth Singh Parihar 1, Rajani Gupta 2 1 Kailash Narayan Patidar College of Science and Technology, Baghmugaliya,

More information

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Jan Doutreloigne Abstract This paper describes two methods for the reduction of the peak

More information

VLSI Implementation of Impulse Noise Suppression in Images

VLSI Implementation of Impulse Noise Suppression in Images VLSI Implementation of Impulse Noise Suppression in Images T. Satyanarayana 1, A. Ravi Chandra 2 1 PG Student, VRS & YRN College of Engg. & Tech.(affiliated to JNTUK), Chirala 2 Assistant Professor, Department

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

An Improved DCM-based Tunable True Random Number Generator for Xilinx FPGA

An Improved DCM-based Tunable True Random Number Generator for Xilinx FPGA An Improved DCM-based Tunable True Random Number Generator for Xilinx FPGA Anju P. Johnson Member, IEEE, Rajat Subhra Chakraborty Senior Member, IEEE and Debdeep Mukhopadyay Member, IEEE 1 Abstract True

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

A Low Power and High Speed Viterbi Decoder Based on Deep Pipelined, Clock Blocking and Hazards Filtering

A Low Power and High Speed Viterbi Decoder Based on Deep Pipelined, Clock Blocking and Hazards Filtering Int. J. Communications, Network and System Sciences, 2009, 6, 575-582 doi:10.4236/ijcns.2009.26064 Published Online September 2009 (http://www.scirp.org/journal/ijcns/). 575 A Low Power and High Speed

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 07, 2017 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 07, 2017 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 07, 2017 ISSN (online): 2321-0613 Analysis of High Performance & Low Power Shift Registers using Pulsed Latch Technique

More information

Evolutionary Electronics

Evolutionary Electronics Evolutionary Electronics 1 Introduction Evolutionary Electronics (EE) is defined as the application of evolutionary techniques to the design (synthesis) of electronic circuits Evolutionary algorithm (schematic)

More information

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 2, Issue 8, 2015, PP 37-49 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org FPGA Implementation

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

Temperature variation effects on asynchronous PUF design using FPGAs

Temperature variation effects on asynchronous PUF design using FPGAs The University of Toledo The University of Toledo Digital Repository Theses and Dissertations 2014 Temperature variation effects on asynchronous PUF design using FPGAs Swetha Gujja University of Toledo

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 DScanPUF: A Delay-Based Physical Unclonable Function Built Into Scan Chain Yu Zheng, Student Member, IEEE, Fengchao Zhang, Student Member,

More information

Proposed DPWM Scheme with Improved Resolution for Switching Power Converters

Proposed DPWM Scheme with Improved Resolution for Switching Power Converters Proposed DPWM Scheme with Improved Resolution for Switching Power Converters Yang Qiu, Jian Li, Ming Xu, Dong S. Ha, Fred C. Lee Center for Power Electronics Systems Virginia Polytechnic Institute and

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Transient Effect Ring Oscillators Leak Too

Transient Effect Ring Oscillators Leak Too Transient Effect Ring Oscillators Leak Too Ugo Mureddu, Brice Colombier, Nathalie Bochard, Lilian Bossuet, Viktor Fischer Univ Lyon, UJM-Saint-Etienne, CNRS, Laboratoire Hubert Curien UMR 5516, F-42023,

More information

Quantitative Intellectual Property Protection Using Physical-Level Characterization

Quantitative Intellectual Property Protection Using Physical-Level Characterization 1722 IEEE TRANSACTIONS ON INFORMATION FORENSICS AND SECURITY, VOL. 8, NO. 11, NOVEMBER 2013 Quantitative Intellectual Property Protection Using Physical-Level Characterization Sheng Wei, StudentMember,IEEE,

More information

Design of a High Throughput 128-bit AES (Rijndael Block Cipher)

Design of a High Throughput 128-bit AES (Rijndael Block Cipher) Design of a High Throughput 128-bit AES (Rijndael Block Cipher Tanzilur Rahman, Shengyi Pan, Qi Zhang Abstract In this paper a hardware implementation of a high throughput 128- bits Advanced Encryption

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright Geared Oscillator Project Final Design Review Nick Edwards Richard Wright This paper outlines the implementation and results of a variable-rate oscillating clock supply. The circuit is designed using a

More information

Design of Spread-Spectrum Communication System Based on FPGA

Design of Spread-Spectrum Communication System Based on FPGA Sensors & Transducers 203 by IFSA http://www.sensorsportal.com Design of Spread-Spectrum Communication System Based on FPGA Yixin Yan, Xiaolei Liu, 2* Xiaobing Zhang College Measurement Control Technology

More information

DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS

DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS Moslem Amiri, Václav Přenosil Faculty of Informatics, Masaryk University Brno, Czech Republic, amiri@mail.muni.cz, prenosil@fi.muni.cz

More information

PiRA: IC Authentication Utilizing Intrinsic Variations in Pin Resistance

PiRA: IC Authentication Utilizing Intrinsic Variations in Pin Resistance PiRA: IC Authentication Utilizing Intrinsic Variations in Pin Resistance Abhishek Basak, Fengchao Zhang and Swarup Bhunia Department of EECS, Case Western Reserve University, Cleveland, OH-44106, USA {axb594,

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to

More information

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity C Analog Integrated Circuits and Signal Processing, 27, 275 279, 2001 2001 Kluwer Academic Publishers. Manufactured in The Netherlands. Applying Analog Techniques in Digital CMOS Buffers to Improve Speed

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

1162 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 7, JULY 2015

1162 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 7, JULY 2015 1162 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 7, JULY 2015 Intelligent Voltage Ramp-Up Time Adaptation for Temperature Noise Reduction on Memory-Based

More information

IN SEVERAL wireless hand-held systems, the finite-impulse

IN SEVERAL wireless hand-held systems, the finite-impulse IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 51, NO. 1, JANUARY 2004 21 Power-Efficient FIR Filter Architecture Design for Wireless Embedded System Shyh-Feng Lin, Student Member,

More information

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique G. Sai Krishna Master of Technology VLSI Design, Abstract: In electronics, an adder or summer is digital circuits that

More information

POWER consumption has become a bottleneck in microprocessor

POWER consumption has become a bottleneck in microprocessor 746 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 7, JULY 2007 Variations-Aware Low-Power Design and Block Clustering With Voltage Scaling Navid Azizi, Student Member,

More information

SIDE-CHANNEL attacks exploit the leaked physical information

SIDE-CHANNEL attacks exploit the leaked physical information 546 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 7, JULY 2010 A Low Overhead DPA Countermeasure Circuit Based on Ring Oscillators Po-Chun Liu, Hsie-Chia Chang, Member, IEEE,

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

A Data Remanence based Approach to Generate 100% Stable Keys from an SRAM Physical Unclonable Function

A Data Remanence based Approach to Generate 100% Stable Keys from an SRAM Physical Unclonable Function A Data Remanence based Approach to Generate 100% Stable Keys from an SRAM Physical Unclonable Function Muqing Liu, Chen Zhou, Qianying Tang, Keshab K. Parhi and Chris H. Kim University of Minnesota, Twin

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information