Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Similar documents
IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

MAPPER: High throughput Maskless Lithography

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Scope and Limit of Lithography to the End of Moore s Law

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

(Complementary E-Beam Lithography)

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Electron Beam Lithography. Adam Ramm

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Advanced Patterning Techniques for 22nm HP and beyond

Reflective Electron Beam Lithography: Lithography Results Using CMOS Controlled Digital Pattern Generator Chip

EUVL getting ready for volume introduction

Progresses in NIL Template Fabrication Naoya Hayashi

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Introduction of ADVANTEST EB Lithography System

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Micro Photonics, Berlin

Advanced Packaging Solutions

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Optical Maskless Lithography - OML

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Update on 193nm immersion exposure tool

16nm with 193nm Immersion Lithography and Double Exposure

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Optical Microlithography XXVIII

Imaging for the next decade

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

DSA and 193 immersion lithography

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Metrology in the context of holistic Lithography

R&D Status and Key Technical and Implementation Challenges for EUV HVM

New CD-SEM System for 100-nm Node Process

Development of Nanoimprint Mold Using JBX-9300FS

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

HOW TO CONTINUE COST SCALING. Hans Lebon

Feature-level Compensation & Control

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

EUV Interference Lithography in NewSUBARU

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Competitive in Mainstream Products

Fundamental Aspect of Photosensitized Chemically Amplified Resist How to overcome RLS trade-off

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

EUV Substrate and Blank Inspection

Toward 5nm node ; Untoward Scaling with Multi-patterning

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

EUV Supporting Moore s Law

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Challenges of EUV masks and preliminary evaluation

Design Rules for Silicon Photonics Prototyping

The Need for Multiple Alternatives for sub-20 nm Lithography

State-of-the-art device fabrication techniques

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Negative tone development process for double patterning

Envisioning the Future of Optoelectronic Interconnects:

Using the Normalized Image Log-Slope, part 2

Micro- and Nano-Technology... for Optics

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Amphibian XIS: An Immersion Lithography Microstepper Platform

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Optimizing FinFET Structures with Design-based Metrology

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Lithography. International SEMATECH: A Focus on the Photomask Industry

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Improving registration metrology by correlation methods based on alias-free image simulation

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Application Interest Group (AIG) Process Overview. Dr. Robert C. Pfahl Director of Roadmapping

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Lithography on the Edge

Reducing Proximity Effects in Optical Lithography

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Process Optimization

Transcription:

Status and Challenges for Multibeam DW lithography L. PAIN CEA - LETI Silicon Technology Department

Outline Introduction Challenges Current program status KLA-TENCOR MAPPER Demonstration capability IMAGINE consortium Conclusion Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-2

Electron beam direct write lithography A mature technology since 1960! High resolution capability l = 0.004 nm @100keV Several options Single beams Multi-columns Multi-beams 2-5d/w R&D 1w/2-5h Small serie 10wph/module Production Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-3

MULTIBEAM Benefits and doubts Benefits Resolution/Flexibility Economical gain Industrial capability Industrial compatibility Doubts Industrial maturity Technology maturity Timing for industry Strong industrial partnership & commitment Data treatment (speed & integrity) Infrastructure Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-4

Outline Introduction Challenges Current program status KLA-TENCOR MAPPER Demonstration capability IMAGINE consortium Conclusion Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-5

Multibeam technology challenges Performances reliability Performances reliability Speed Integrity Volume EPC SW Performances reliability RLS trade off 30µC/cm² 5kV MAPPER 40µC/cm² 75kV KLA RBEL CDU 10% Contamination Accuracy Alignment 3nm@C010 Stictching Writing strategy Thermal control Track interface Contamination Delay management reliability Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-6

Outline Introduction Challenges Demonstration capability Current program status KLA-TENCOR MAPPER IMAGINE consortium Conclusion Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-7

KLA-Tencor REBL: Reflective Electron Beam Lithography Digital Pattern Generator 75-100KV Reflective eoptics Massively parallel exposure using > 10 6 beams Digital Pattern Generator Chip (DPG) Projection Optics EXB Filter Illumination Optics Electron Gun Fabricated in 65nm CMOS Spatially modulates flood electron beam TDI (Temporal Dose Integration) Gray level exposure for precision edge placement Source brightness requirements reduced by orders of magnitude Demag Optics Optical Wafer Registration No exposure of resist Capable of using existing registration marks as in mix & match lithography Multiple Wafer Linear Stage Targeting 100wph lithography at 16nm HP with CoO equivalent to 193i litho Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-8

REBL Optics Roadmap Col 1: Retired Col 3: On lithography test stage In assembly In development Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-9

REBL Current Status We have demonstrated 75-100nm HP grayscale lithography 75kV writing in TDI mode on a moving stage with dose gray scaling Current work focuses on further resolution improvement, then swath stitching into larger print areas Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-10

Outline Introduction Challenges Current program status KLA-TENCOR MAPPER Demonstration capability Conclusion Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-11

Technology overview 13,260 beams in 26mm x 26mm Electron source Collimator lens Aperture array Condensor lens array Beam Blanker array Beam Stop array Beam Deflector array Projection lens array Each beam consists of 7 x 7 sub-beams Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-12

1st picture od pre-production tool @ LETI 1st installation on-going at LETI site Key milestones Delivery June/13 Q4/13 : stage & handling qualification DEC/JAN : 1st exposures Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-13

Outline Introduction Challenges Demonstration capability Current program status KLA-TENCOR MAPPER IMAGINE consortium Conclusion Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-14

Learning curve on MAPPER pre-alpha Tool Learning on preventive maintenance plan Main Illumination Optic (MILO) swap on quarterly basis Projection Optic System (POS) upgrade Stage instabilities : sensors, knife edge Regular progress on resolution performances Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-15

Best resolution with 5kV reference process Asterix S04 Champion resolution Latest results with PoR 18nm hp F6 Beam F7 F8 F9 F10 D=80 µc/cm2 G1 G3 G5 G7 G9 Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-16

Resist sensitivity status Resist sensitivity on target @ 5kV for 20nm node A 5kV 28nm dense L/S & Contact D0=20µC/Cm² D0=36µC/Cm² C D0=30µC/Cm² D0=61µC/Cm² LS design Dose to size (µc/cm²) Expo latitude (µc/cm²/nm) LWR 32/64 29 0.37 4.4 28/56 30 0.27 4.6 24/48 - - - 12/48 59 0.93 4.2 Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-17

Circuit cut demonstration Brickwall 26nm hp CD 26nm Contact 24nm hp CD 26nm Metal 1 24nm hp CD 26nm 18nm hp 22nm hp 22nm hp Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-18

AFTER ETCH AFTER LITHO Etch demonstration CONT 32-Pitch 64nm CD contact -1.5nm after etch Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-19

Focus on resolution for 90 & 65nm node Resolution & high throughput potential pcar - 14µC/cm² ncar - 8µC/cm² pcar - 12µC/cm² ncar - 8µC/cm² pcar - 18µC/cm² Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-20

Outline Introduction Challenges Current program status KLA-TENCOR MAPPER Demonstration capability IMAGINE consortium Conclusion Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-21

LETI MAPPER IMAGINE consortium 1 module 1 10wph 10 clustered modules 10wph/module Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-22

IMAGINE environment PLATFORM ASSESSMENT Technology assessment Qualify MATRIX generation EPC Get tool format concensus Validate data flow Qualify EPC strategy PROCESS 100% resist partner tests Push process capabilities OUTGASSING Test resist partners Work on contamination INTEGRATION Demonstrate CMOS process flow compatibility Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-23

Consortium outlook Active participation for 2013 24 IMAGINE program status 18 Dec 2012 L. Pain Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-24

IMAGINE roadmap Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-25

Outline Introduction Challenges Current program status KLA-TENCOR MAPPER Demonstration capability IMAGINE consortium Conclusion Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-26

SO WHAT? Demonstrated target Multibeam will come Cost advantages Resolution potential Scalability perspectives Introduction timing depends The QUESTIONS When? Which level of performance? The answer Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-27

Acknowledgments KLA TENCOR for data on RBEL program IMAGINE consortium The IMAGINE partners THE key for development success Specific bilateral work shared inside IMAGINE Joint activities with TELA Innovation Links with E-Beam initiative MAPPER Lithography Delft site Full time local assignees LETI team Tech Arena Lithography maskless for DW L. Pain et al 10 October 2013-28