Comparison of actinic and non-actinic inspection of programmed defect masks

Similar documents
State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

2009 International Workshop on EUV Lithography

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

EUV Actinic Blank Inspection Tool Development

EUV Substrate and Blank Inspection

Lithography Industry Collaborations

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

A New Inspection Method for a EUV Mask Defect Inspection System


SEMATECH Defect Printability Studies

Critical Challenges of EUV Mask Blank Volume Production

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

EUV Lithography Transition from Research to Commercialization

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Registration performance on EUV masks using high-resolution registration metrology

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Challenges of EUV masks and preliminary evaluation

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

Purpose: Explain the top advanced issues and concepts in

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Optical Proximity Effects

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany

Improving registration metrology by correlation methods based on alias-free image simulation

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Flare compensation in EUV lithography

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

NIST EUVL Metrology Programs

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

Development of ultra-fine structure t metrology system using coherent EUV source

Measurement of Surface Profile and Layer Cross-section with Wide Field of View and High Precision

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Inspection of templates for imprint lithography

Optical Requirements

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Feature-level Compensation & Control

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Experimental assessment of pattern and probe-based aberration monitors

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Resolution. Diffraction from apertures limits resolution. Rayleigh criterion θ Rayleigh = 1.22 λ/d 1 peak at 2 nd minimum. θ f D

Photolithography Technology and Application

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Evaluation of Technology Options by Lithography Simulation

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Modeling of EUV photoresists with a resist point spread function

3D light microscopy techniques

membrane sample EUV characterization

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

IN-LAB PELLICLE METROLOGY CHALLENGES

Copyright 2000 Society of Photo Instrumentation Engineers.

Recent Development Activities on EUVL at ASET

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

IMPACT Lithography/DfM Roundtable

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Near-field optical photomask repair with a femtosecond laser

Reducing Proximity Effects in Optical Lithography

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Mask Technology Development in Extreme-Ultraviolet Lithography

Integrated into Nanowire Waveguides

Surface Finish Measurement Methods and Instrumentation

EE-527: MicroFabrication

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Comparative Study of Mask Architectures for EUV Lithography

Improving the Collection Efficiency of Raman Scattering

BEAM HALO OBSERVATION BY CORONAGRAPH

Lecture 20: Optical Tools for MEMS Imaging

Scanning Electron Microscopy SEM. Warren Straszheim, PhD MARL, 23 Town Engineering

Photolithography II ( Part 2 )

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Optical design of a high resolution vision lens

MICRO AND NANOPROCESSING TECHNOLOGIES

Pellicle dimensions for high NA photomasks

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Applications of Optics

TDI Imaging: An Efficient AOI and AXI Tool

Micro- and Nano-Technology... for Optics

OCT Spectrometer Design Understanding roll-off to achieve the clearest images

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths

Test procedures Page: 1 of 5

Major Fabrication Steps in MOS Process Flow

Transcription:

Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine Ted Liang Christian Holfeld Rainer Fettig Yoshihiro Tezuka, Tsuneo Terasawa additional support *current and former project managers Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and ISMI are servicemarks of SEMATECH, Inc. SEMATECH, the SEMATECH logo, Advanced Technology Development Facility, ATDF, and the ATDF logo are registered servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Fundamental questions remain for EUV reticles Isolated Defects Can we detect all printable defects? Are there actinic-only defects? Pattern/Proximity Defects Can we use aerial image data to improve modeling? Inspection tools How well do they perform? Does inspection cause damage? Printing Modeling AFM, SEM Non-Actinic Inspection λ = 266, 488 nm Actinic (EUV) Inspection scanning & imaging bright-field, dark-field cross-comparison is the path to greater knowledge

Different wavelengths see different ML structures EUV light penetrates deeply into the resonant ML structure 488-nm and 266-nm light barely reaches below the surface Field Penetration for three λs λ 1% depth bi-layers 13.4 nm 215 nm 31 488 nm 53.6 nm 266 nm 20.6 nm 8 3 At-wavelength testing probes the actual multilayer response. Field intensity vs. depth depth [nm] 1.00 0.01

The SEMATECH Berkeley Actinic Mask Inspection Tool Worldwide, this is the only EUV mask inspection tool offering imaging and scanning in dark-field and bright-field modes. (synchrotron source) (synchrotron source) mask CCD mask Scanning reveals open-field defects, measures subtle mirror reflectivity changes not seen without EUV light. Imaging uses a zoneplate lens to measure the aerial image directly, testing defect printability models without printing.

SEMATECH Actinic Mask Inspection tool is fully operational Scanning & Imaging in routine daily operation Scanning Imaging Bright-field Reflectivity testing 1 µm spot R measurements to ±0.1% Dark-field Scattering Finds printable defects not seen by non-actinic tools. Region-of-Interest identification Used to locate regions of interest for imaging. We find actinic-only defects, in dark-field and bright-field. Exposure Time 0.3 1.5 s alignment & navigation 20 35 s for highest resolution Resolution ~100 nm, Mask ~25 nm, 4 Wafer equivalent Magnification ~700x, direct to EUV CCD NA = 0.0625 (0.25 NA, 4x stepper) Higher resolutions and custom pupil shapes are possible.

Early tests resolved elbow images down to 100-nm (mask), 25-nm (4x wafer equivalent) System Resolution is currently designed to match a 4, 0.25-NA stepper. Illumination: 6 incidence. Partial coherence: σ x > 1.0, σ y = 0.7 Aerial Images 2 µm half-pitch: 250 nm 150 nm 100 nm (mask) 62.5 nm 37.5 nm 25 nm (4x wafer equiv.) Imaging is performed with EUV light, directly There is no scintillator, no conversion to visible light, and no microscope objective. Consequently the measurements are linear. T. Liang, Intel

We have evaluated programmed defects and defect-repair sites on member company masks In imaging mode, we have studied programmed-defects and programmed-defect repair sites on an AMTC MET mask. Measurements conducted include: 300-nm half pitch (75-nm 4x wafer equiv.) dark defects, size variation bright defects, size variation specific defects through focus 150-nm half pitch (37.5-nm 4x wafer equiv.) dark defects, size variation bright defects, size variation 450-nm half pitch (112.5-nm 4x wafer equiv) many specific repair cases Actinic bright-field region scan 3x1 mm MET field defect patterns 1x1 mm C. Holfeld AMTC, B. LaFontaine AMD

Measuring the aerial image: size series, through focus, and repair sites Size series: bright and dark defects 300 nm half-pitch (mask) 75 nm half-pitch (wafer) Through-focus series 2 µm Defect repair studies 2 µm half-pitch: 450 nm (mask) 112.5 nm (wafer) Complete series with 17 images were collected in 30-40 minutes. C. Holfeld AMTC, B. LaFontaine AMD

Comparing Printing, Simulation Programmed bright absorber defects. 300 nm half-pitch (mask) 50-nm (5x wafer equiv.) mask SEM aerial image model Berkeley MET SEM resist images MET exposures showed: Defect printability was limited by resist resolution Christian Holfeld, Bubke, Lehmann, LaFontaine, Pawloski, Schwarzl, Kamm, Graf, and Erdmann SPIE 6151, 61510U (2006) C. Holfeld AMTC, B. LaFontaine AMD

Comparing Printing, Simulation, and Actinic Imaging Programmed bright absorber defects. 300 nm half-pitch (mask) 50-nm (5x wafer equiv.) mask SEM aerial image model Berkeley MET SEM resist images actinic aerial image C. Holfeld AMTC, B. LaFontaine AMD

Comparing Printing, Simulation, and Actinic Imaging Programmed bright absorber defects. 300 nm half-pitch (mask) 50-nm (5x wafer equiv.) mask SEM aerial image model Berkeley MET SEM resist images actinic aerial image actinic aerial image threshold aerial image C. Holfeld AMTC, B. LaFontaine AMD

Actinic scanning-mode: a 1-µm reflectometer Our focused beam probes the surface reflectivity and scattering micron-by-micron. ALS Beamline 6.3.2 Reflectometer (absolute R) 10 x 300 µm Berkeley Actinic Mask Inspection scanning Focal Spot (relative R) 5 x 5 µm 3 x 3 µm 1 x 1 µm In 2006 we studied: The sensitivity of actinic & non-actinic inspection tools vs. printing The EUV response of open-field defect-repair sites Damage caused by mask inspection

Using a buried substrate-bump mask, we compared the sensitivity of 4 inspection tools Many defects are seen only with EUV inspection MIRAI (EUV) high DF solid-angle normal incidence illum. low-res DF images EUV actinic Lasertec, non-euv MIRAI Berkeley M1350 early M7360 Berkeley (EUV) BF & DF scanning 6 illumination Lasertec tools M1350 (λ = 488 nm) M7360 (λ = 266 nm) Significant improvement from M1350 to M7360 SNR = (3, 118) SNR = (0, 37) 13.4 nm 13.4 nm 488 nm Goldberg, et al., JVST B 2006 Lasertec, Y. Tezuka, T. Terasawa, P. Kearney pixels = (0, 18) pixels = (0, 25) 266 nm individually scaled

Bright-field scan reveals details not observable in dark-field EUV Bright-field inspection clearly reveals absorptive native defects added after the first MIRAI measurement (in Japan). These surface defects do not scatter well. In some cases the large surface defects were not seen with dark-field detection. Berkeley dark-field Berkeley bright-field Scanning versus Imaging: SEMATECH Berkeley tool uses BF/DF scanning: no collection optics, only detectors. In an imaging tool with bright-field detection, flare would severely limit resolution, but would have little impact on dark-field. Lasertec, Y. Tezuka, T. Terasawa, P. Kearney

Cross-comparison measurements of buried-pit defects Pits are milled in a first ML coating using FIB. A second ML coating buries the pits. fiducial Barty, SPIE Photomask 2006 1.03 1.00 30 pa 10 pa 1 pa 50 pa bright-field scan scaled 50% to 103% relative reflectivity 0.50 Again, in bright-field, actinic inspection finds native defects and features possibly related to damage produced during non-actinic inspection. B. LaFontaine, P. Kearney

Cross-comparison measurements of buried-pit defects Pits are milled in a first ML coating using FIB. A second ML coating buries the pits. fiducial Barty, SPIE Photomask 2006 1.015 1.00 30 pa 10 pa 1 pa 50 pa bright-field scan scaled 96% to 101.5% relative reflectivity 0.96 Again, in bright-field, actinic inspection finds native defects and features possibly related to damage produced during non-actinic inspection. Unexplained vertical line features. Other edge features surround the central fiducial region. B. LaFontaine, P. Kearney

Comparing: Actinic Non-Actinic MET printing We found that each pit type has a different characteristic... MET printability M1350 detectability Actinic BF and DF detection strength before Lasertec M1350 before the 2nd coating... after 2nd coating Actinic BF Actinic DF reflectivity loss [%] ± 0.078% 30 pa 10 too paclose to native defect1 pa 50 pa scattering/background (SNR) detected < 3σ too close to native defect ΔR[%] 1.7 1.0 0 131 100 50 0 after Berkeley MET B. LaFontaine, P. Kearney

Actinic inspection found all MET-printable defects printable not-printed Early results Arrays of buried substrate pits We detected many defects that were below the MET printing threshold These strong defects did not print *BF measured with a 2.5 µm beam spot B. LaFontaine, P. Kearney

The correlation between actinic dark-field and M1350 showed some inconsistencies printable not-printed Arrays of buried substrate pits The M1350 detected many defects that were below the MET-printing threshold. Yet, the M1350 missed these printable defects Actinic Dark-field SNR We need more data like this, and also cross-correlation with the M7360. B. LaFontaine, P. Kearney

Actinic inspection of mask-blank defect-repair sites shows significantly different bright-field and dark-field responses Actinic bright-field and dark-field scanning shows the effectiveness of mask-blank defect repair strategies. Some sites scatter strongly, others absorb light. EUV tools relying on dark-field only will likely fail to observe some sites with incomplete repair. Non-actinic tools may mischaracterize repair. No other existing tool can resolve reflectivity changes on this length scale. SEM A B C D Comparison in progress: bright-field relative ΔR 6 µm -12.2% -5.5% -27.6% -16.6% 103 100 % AFM Actinic dark-field signal / bkgnd. 19 µm -6.0-6.5 68.4 43.7 19 µm 70 0 Lasertec M1350 SPIE 2007 Rainer Fettig, Phil Seidel, Pat Kearney

We measured reflectivity losses caused by inspection damage High power inspection can damage masks A mask was prepared to assess the damage threshold of the Lasertec M7360, during qualification. Actinic bright-field scanning observed narrow damage regions (reflectivity loss up to 6%) outside of the die area, at high power. Some of the regions are undetectable in the Lasertec tool itself. Actinic BF scans of Lasertec inspection regions intentionally damaged with different operating modes and power levels. calibration defect review defect review scanning region edge, out of die area 1 mm 0.5 mm damage detent detent 5 @ full power ΔR max = 5.4% 20 @ full power ΔR max = 2.1% 1 @ lower power ΔR max = 0.8% 20 @ full power ΔR max = 3.5% Lasertec, P. Kearney, H. Kusunose

We used actinic inspection to help set safe power levels Areas of concern: Damaged areas may be too small for conventional reflectometry to see. Damage could be problematic if it can only be seen with EUV light. However, we can use actinic inspection to help set safe power levels. The SEMI P38 standard ( ΔR max < 0.5%) is poorly defined regarding the spatial scale of R variations abrupt R changes may cause problems. an intentionally damaged defect review test region 0.5 mm detent power level & dose: 20 @ full power peak reflectivity drop: ΔR max = 2.1% Lasertec, P. Kearney, H. Kusunose

Actinic Mask Inspection Tool: routine daily operation A unique tool, aiding the development of EUV reticles Scanning: Probes reflectivity & scattering µm-by-µm Relative R ±0.1% at 1 5 µm spatial resolution Actinic vs. non-actinic cross-comparisons Imaging: Emulates stepper optics 100 200 high-resolution images per shift In September/October: Five masks in five weeks Quantitative analysis & comparison with MET imaging is in progress (programmed absorber and phase defects) Studying defect-repair site aerial images Upgrades multiple lenses with emulated NA > 0.25 arbitrary pupil shapes better through-focus control illumination uniformity distortion control / correction Funded by SEMATECH Thank you

Results and conclusions EUV inspection probes resonant multilayer properties: penetrates 4 deeper than 488-nm, 10 deeper than 266-nm BF and DF Both EUV bright-field (BF) and dark-field (DF) are important DF alone does not detect all absorbing surface defects BF defect sensitivity relies on high flux and a small beam Pit Defect Cross-Comparison We detected all MET-printable pit defects, and many below threshold More data is required (M7360, AFM, modeling, etc.) Defect Repair Feedback Actinic inspection provides feedback for defect repair strategies mask-blank defects and pattern defects Inspection Damage Inspection tools can lower EUV reflectivity on short length scales Some damage may only be seen at-wavelength EUV inspection can help set power levels below damage threshold Thank you Funded by SEMATECH