Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Similar documents
Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

The future of lithography and its impact on design

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

Newer process technology (since 1999) includes :

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Intel Technology Journal

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Photolithography I ( Part 1 )

Enabling Breakthroughs In Technology

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Scaling of Semiconductor Integrated Circuits and EUV Lithography

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Chapter 1, Introduction

State-of-the-art device fabrication techniques

DATASHEET CADENCE QRC EXTRACTION

Microprocessor Design in the Nanoscale Era

Lecture #29. Moore s Law

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

OPC Rectification of Random Space Patterns in 193nm Lithography

Part 5-1: Lithography

VLSI: An Introduction

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Research in Support of the Die / Package Interface

Chapter 15 IC Photolithography

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

ATV 2011: Computer Engineering

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

MICROPROCESSOR TECHNOLOGY

Advanced Packaging Solutions

Logic Technology Development, *QRE, ** TCAD Intel Corporation

What s So Hard About Lithography?

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

Lecture 0: Introduction

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

BASICS: TECHNOLOGIES. EEC 116, B. Baas

16nm with 193nm Immersion Lithography and Double Exposure

Innovation to Advance Moore s Law Requires Core Technology Revolution

32nm Technology and Beyond

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

40nm Node CMOS Platform UX8

Vietnam General Manager Intel Corporation

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

1 Digital EE141 Integrated Circuits 2nd Introduction

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Low Energy Communication: NanoPhotonic & Electrical. Prof. Eli Yablonovitch EECS Dept. UC Berkeley

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B.

Practical Information

+1 (479)

HOW TO CONTINUE COST SCALING. Hans Lebon

End-of-line Standard Substrates For the Characterization of organic

Layout and technology

6.012 Microelectronic Devices and Circuits

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

Leakage Power Minimization in Deep-Submicron CMOS circuits

Mass transfer with elastomer stamps for microled displays.

Design Rules for Silicon Photonics Prototyping

Processing and Reliability Issues That Impact Design Practice. Overview

Lithography in our Connected World

Thermal Management in the 3D-SiP World of the Future

FPGA Based System Design

Optical lithography is the technique for

E SC 521 Pattern Generation at the Nanoscale Wook Jun Nam The Pennsylvania State University

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

Introduction to VLSI ASIC Design and Technology

EE 434 Lecture 2. Basic Concepts

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

Photolithography 光刻 Part I: Optics

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction

From Sand to Silicon Making of a Chip Illustrations May 2009

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

22-NM CMOS DESIGN LIMITS

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

W ith development risk fully borne by the equipment industry and a two-year delay in the main

MAPPER: High throughput Maskless Lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners.

Design of Adders with Less number of Transistor

Transcription:

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1

What are We Announcing? Intel has fabricated fully-functional 4 Mb SRAM arrays using an ultra-small 0.57 µm 2 SRAM cell on its 65 nm generation logic technology The 65 nm process incorporates key technology elements needed on high performance microprocessors, including strained silicon transistors and 8 layers of copper interconnect using a low-k dielectric Intel's advanced in-house mask making capabilities allow us to extend 193 nm lithography tools down to the dimensions needed on the 65 nm generation The 65 nm logic technology is being developed in Intel's newest 300 mm fab, D1D, in Hillsboro, Oregon Intel 2

Why is this Important? Demonstrates that Intel continues to track Moore's Law, delivering a new process technology every 2 years Demonstrates the value of in-house mask making capabilities to enable continued dimensional scaling while using cost effective lithography tools Demonstrates the advantage of an Integrated Device Manufacturer: Ability to control all the critical pieces (silicon process, mask making, circuit design) and control the increasingly complex interactions between them Intel 3

Intel's Logic Technology Evolution Process Name P858 Px60 P1262 P1264 P1266 P1268 1 st Production 1999 2001 2003 2005 2007 2009 Lithography 0.18µm 0.13µm 90nm 65nm 45nm 32nm Gate Length 0.13µm 0.70µm 50nm 35nm 25nm 17nm Wafer (mm) 200 200/300 300 300 300 300 Moore's Law continues! Intel continues to introduce a new technology generation every 2 years Intel 4

0.57 µm 2 6-T SRAM Cell 0.46 x 1.24 = 0.57 µm 2 Ultra-small SRAM cell used in 65 nm process packs six transistors in an area of 0.57 µm 2 Fully functional 4 Mbit SRAM arrays have been made with all bits working Approximately 10 million transistors could fit in the area of the tip of a ball point pen (1 mm 2 ) Intel 5

Doubling Transistor Density Every 2 Years 100 Intel SRAM Cell Size Trend 10 Cell Area (um 2 ) 1 0.5x every 2 years 65 nm 0.1 1992 1994 1996 1998 2000 2002 2004 2006 0.57 µm 2 cell on 65 nm process was demonstrated only 20 months after 1.0 µm 2 cell on 90 nm process Intel 6

SRAM Noise Margin Small area is not the only important factor for SRAM cells Adequate noise margin for robust circuit operation is critical, and can be hard to achieve at small dimensions and low voltages Intel's 0.57 µm 2 SRAM cell has solid noise margin even down to a 0.7V operating voltage Volts Node (circuit 2 (V) node 2) 1.2 1.0 0.8 0.6 0.4 0.2 0.0 1.1V 0.9V 0.7V Noise Margin 0.0 0.2 0.4 0.6 0.8 1.0 1.2 Volts Node (circuit 1 (V) node 1) Measured internal voltage levels on 0.57 µm 2 SRAM cell Intel 7

Lithography Challenge 10 10000 1 365nm 248nm Lithography Wavelength 1000 Micron 193nm nm 0.1 130nm 90nm Feature 65nm Size Gap 13nm EUV 100 0.01 10 1980 1990 2000 2010 2020 Minimum feature size is scaling faster than lithography wavelength Advanced photo mask techniques help to bridge the gap Intel 8

How are Photo Masks Used? Light Source Photo Mask Exposure System (Lens) Silicon Wafer Intel 9

In-House Mask Facility Intel's in-house mask making facility was critical to achieving this 65 nm SRAM cell milestone In-house mask facility: State-of-art 35k sq ft clean room Providing all Intel mask needs including the management of ~15% outsource for back-up purposes Fast delivery (5 days for 1 ST 3 layers) Lowest return rate in the industry Advanced OPC and phase shift masks for 65 nm node World leading EUV mask development program for possible 32 nm node insertion Intel 10

OPC Masks Top View Drawn structure Add OPC features Mask structure Printed on wafer Sub-resolution Optical Proximity Correction features added during mask making to enable improved pattern definition OPC requires sophisticated algorithms for adding sub-resolution features and requires improved mask making technology Intel 11

Alternating Phase Shift Masks Side View Chrome Chrome <40 nm line 0 180 Glass Glass Silicon Substrate Standard Mask Phase Shift Mask Printed Lines on Si Wafer Phase shift masks enable patterning <40 nm lines using 193 nm wavelength light APSM requires both new mask making technology and new circuit layout design rules Intel 12

D1D - World's Most Advanced Fab Intel's 65 nm logic technology is being developed at our 300 mm wafer fab, D1D, located in Hillsboro, Oregon D1D is Intel's newest fab and is our 4 TH operational 300 mm facility At 176,000 sq feet, D1D is Intel's largest individual clean room (roughly the size of 3.5 football fields) Fully automated wafer transport is used to move 300 mm wafers throughout the fab D1D will be used to both develop and manufacture Intel's 65 nm and 45 nm logic technologies Intel 13

65 nm Wafer Fab D1D Hillsboro, Oregon World's most advanced 300 mm wafer fab Intel 14

Summary Intel has demonstrated fully functional 4 Mb SRAM arrays with a cell size of only 0.57 µm 2 using our 65 nm logic technology The process flow used incorporates key elements needed for advanced microprocessors, such as strained silicon transistors and 8 layers of Cu interconnects using a low-k dielectric Intel's advanced in-house mask making capability is instrumental in extending 193 nm lithography tools to the 65 nm generation The 65 nm logic technology is being developed in the world's most advanced 300 mm fab, D1D, in Hillsboro, Oregon Intel is on track for being the first to produce 65 nm generation microprocessor products in 2005 Intel 15

For further information on Intel's silicon technology, please visit the Silicon Showcase at www.intel.com/research/silicon Intel 16