Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Similar documents
Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Using the Normalized Image Log-Slope, part 2

Optical Proximity Effects, part 2

What s So Hard About Lithography?

Depth of Focus, part 2

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

More on the Mask Error Enhancement Factor

Optical Proximity Effects, part 3

Optical Proximity Effects

Reducing Proximity Effects in Optical Lithography

Horizontal-Vertical (H-V) Bias

Line End Shortening, part 2

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

optical and photoresist effects

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

The Formation of an Aerial Image, part 3

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Exhibit 2 Declaration of Dr. Chris Mack

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Lithographic Process Evaluation by CD-SEM

The Formation of an Aerial Image, part 2

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Optical Projection Printing and Modeling

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Process Optimization

DOE Project: Resist Characterization

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Key Photolithographic Outputs

MICROCHIP MANUFACTURING by S. Wolf

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Optical lithography is the technique for

MICRO AND NANOPROCESSING TECHNOLOGIES

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365iS

Optimizing FinFET Structures with Design-based Metrology

16nm with 193nm Immersion Lithography and Double Exposure

Double Exposure Using 193nm Negative Tone Photoresist

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

OPC Rectification of Random Space Patterns in 193nm Lithography

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Understanding focus effects in submicrometer optical lithography: a review

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Line edge roughness on photo lithographic masks

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Design Rules for Silicon Photonics Prototyping

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Depth of Focus and the Alternating Phase Shift Mask

Microlens formation using heavily dyed photoresist in a single step

OPC Scatterbars or Assist Features

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Resolution. [from the New Merriam-Webster Dictionary, 1989 ed.]:

Improving registration metrology by correlation methods based on alias-free image simulation

Optolith 2D Lithography Simulator

CD-SEM for 65-nm Process Node

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

PTC School of Photography. Beginning Course Class 2 - Exposure

Defense Technical Information Center Compilation Part Notice

Characterization of a Thick Copper Pillar Bump Process

EE-527: MicroFabrication

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Aerial image based mask defect detection in dense array structures

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Lecture 5. Optical Lithography

Lithography on the Edge

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Resolution Enhancement Technologies

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

IIL Imaging Model, Grating-Based Analysis and Optimization

(Ar [ Si O Si O] m )n

Overlay accuracy a metal layer study

Appendix C: Graphing. How do I plot data and uncertainties? Another technique that makes data analysis easier is to record all your data in a table.

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

ASML Job Set-up procedure for Standard Jobs 4 wafers:

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Photolithography II ( Part 2 )

Chapter 15 IC Photolithography

Mirror-based pattern generation for maskless lithography

Purpose: Explain the top advanced issues and concepts in

Extending SMO into the lens pupil domain

Introduction of ADVANTEST EB Lithography System

Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography

Progresses in NIL Template Fabrication Naoya Hayashi

Large Area Interposer Lithography

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Transcription:

T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what is meant by depth of focus (DOF): the range of focus which keeps the resist profile of a given feature within all specifications (linewidth, sidewall angle, and resist loss) over a specified exposure range. DOF was measured for a given feature using a focus-exposure matrix and a specific methodology was proposed for analyzing the focus-exposure data to obtain the most useful determination of the DOF. This careful attention to detail was needed to correct the vague and ambiguous way in which the term depth of focus is often used in the semiconductor industry. Surely no such ambiguities exist for a term so straightforward as resolution. Alas, clarity again alludes the industry. Resolution is, quite simply, the smallest feature that you are able to print (with a given process, tool set, etc.). The confusion comes from what is meant by able. For a researcher investigating a new process, ability might mean shooting a number of wafers, painstaking searching many spots on each wafer, and finding the one place where a small feature looks somewhat properly imaged. For a production engineer, the manufacturable resolution might be the smallest feature size which provides adequate yield for a device designed to work at that size. For most lithographers, the definition falls somewhere between these two extremes. Can we define resolution, similar to our definition of DOF, in such a way that it can meet all of these varied needs? Producing an adequately resolved feature in a realistic working environment means printing the feature within specifications (linewidth, sidewall angle, and resist loss) over some expected range of process variations. As we have seen before, the two most common process variations are focus and exposure. Since our definition of depth of focus includes meeting all profile specifications over a set exposure range, a simple definition of resolution emerges: the smallest feature of a given type which can be printed with a specified depth of focus. This definition is perfectly general. If the exposure latitude specification used in the DOF definition is set to zero and the DOF specification in the resolution definition is set to zero, the research use of the term resolution is obtained (if it prints once, it is resolved). If the exposure latitude and DOF specifications are made sufficiently large to handle all normal process errors encountered in a manufacturing line, the manufacturing use of the term resolution is obtained. As with the definition of DOF, the choice of the specifications determines whether the resulting resolution is appropriate to a given application. Figure 1 illustrates the concept of resolution. The depth of focus for a pattern of equal lines and spaces is shown as a function of feature size. (For this and subsequent figures, the DOF is based on profile specifications of CD ±10%, sidewall angle > 80, resist loss < 10%, and an exposure latitude specification of 10%. All focus and exposure errors are assumed to be systematic. Each data point

assumes that nominal exposure and focus were adjusted to give the best process window and thus the largest possible DOF. Mask linearity -- the ability to print different feature sizes at the same time -- is not considered here.) If zero depth of focus is required, the resolution for this process would be about 0.33 µm. A requirement of 1.0 µm DOF would increase the minimum printable feature size to 0.38 µm, and a requirement of 1.5 µm DOF would degrade the resolution further to 0.43 µm. Obviously, a simple statement of the resolution without clearly stating the DOF requirement (and thus the profile and exposure latitude requirements) would be of little use. Figure 2 illustrates how a given process, tool set, etc., does not have a single resolution for all feature types. Obviously, the resolution of the isolated line shown here is greater than the other feature types. For typical DOF requirements, the contact hole shows the worst resolution under these conditions. Figure 3 illustrates how a careful definition of resolution can elucidate fundamental lithographic behavior, such as the role of numerical aperture. For larger features, lower NA gives more depth of focus. But for smaller features, the DOF falls off more quickly for the lower NA. This results in the well-known effect of an optimum NA to give the greatest DOF. But it also impacts resolution in an interesting way. If no DOF is required, the resolution (the point where each curve in Figure 3 hits the x-axis) follows the familiar trend of increased resolution with increased NA. If, however, a large DOF is required, the behavior of resolution with NA becomes more complicated. Figure 4 expands on the results of Figure 3 and shows the resolution of equal line/space arrays as a function of numerical aperture for different DOF specifications. For example, with a required DOF of 1 µm, the resolution reaches an optimum (a minimum in the curve at a feature size of 0.37 µm) at a numerical aperture of 0.59. Larger numerical apertures actually reduce the resolution! As the required DOF is reduced, the NA which gives maximum resolution moves out to higher values. Also shown on the graph is the Rayleigh resolution criterion (R = k 1 λ/na) for comparison. Even if the required DOF is zero, the Rayleigh criterion overestimates the influence of numerical aperture on resolution (due to the 10% exposure latitude requirement still in the DOF = 0 definition). For larger required DOF, the Rayleigh criterion becomes less accurate at predicting the influence of NA on resolution. Resolution is a fundamental measure of the capability of a lithography process. By applying the rigorous definition of resolution given here, resolution can be measured and used to quantify the impact of processes changes (such as changing the numerical aperture) or for comparing different processes. When scaling current capabilities to the future, the simple Rayleigh criterion may not be adequate.

Depth of Focus (mm) 4.00 3.20 2.40 1.60.80.00.30.40.50.60.70.80 Mask Width (mm) Figure 1. Resolution can be defined as the smallest feature which meets a given DOF specification. Shown are results for equal lines and spaces, i-line, NA = 0.54, σ = 0.5, typical resist on bare silicon.

Depth of Focus (mm) 4.00 3.20 Isolated line 2.40 Contact 1.60 Dense lines/spaces.80.00.30.40.50.60.70.80 Mask Width (mm) Figure 2. Comparison of the resolution for different feature types (i-line, NA = 0.54, σ = 0.5, typical resist on bare silicon).

Depth of Focus (mm) 5.00 4.00 NA = 0.40 3.00 NA = 0.50 2.00 NA = 0.60 1.00.00.30.40.50.60.70.80 Mask Width (mm) Figure 3. The definition of resolution can be used to study fundamental lithographic trends, such as the impact of numerical aperture (NA) on resolution.

Resolution (mm) 0.50 0.45 DOF = 1.0 µm DOF = 0.8 µm DOF = 0.5 µm DOF = 0.0 µm Rayleigh, k 1 = 0.46 0.40 0.35 0.30 0.25 0.35 0.40 0.45 0.50 0.55 0.60 0.65 0.70 Numerical Aperture Figure 4. Resolution as a function of numerical aperture is more complicated than Rayleigh s criterion would imply.