EUV Resists: Pushing to the Extreme

Similar documents
Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Modeling of EUV photoresists with a resist point spread function

Diffractive optical elements and their potential role in high efficiency illuminators

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Sub-50 nm period patterns with EUV interference lithography

EUVL: Challenges to Manufacturing Insertion

Impact of EUV photomask line edge roughness on wafer prints

Line Width Roughness Control for EUV Patterning

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths

Synthesis of projection lithography for low k1 via interferometry

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Experimental measurement of photoresist modulation curves

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B.

OPC Rectification of Random Space Patterns in 193nm Lithography

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Projection Optics for Extreme Ultraviolet Lithography (EUVL) Microfield Exposure Tools (METs) with a Numerical Aperture of 0.5

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

2009 International Workshop on EUV Lithography

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Extreme Ultraviolet Lithography for 0.1 pm Devices

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

First lithographic results from the extreme ultraviolet Engineering Test Stand

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

SEMATECH Defect Printability Studies

Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

EUVL getting ready for volume introduction

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Novel EUV Resist Development for Sub-14nm Half Pitch

Development of Nanoimprint Mold Using JBX-9300FS

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Mask Roughness Induced Line-Edge Roughness in Extreme Ultraviolet Lithography. Brittany McClinton

Zone-plate-array lithography using synchrotron radiation

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Comparison of actinic and non-actinic inspection of programmed defect masks

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Fundamental Aspect of Photosensitized Chemically Amplified Resist How to overcome RLS trade-off

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

Comparative Study of Mask Architectures for EUV Lithography

Inspection of templates for imprint lithography

Extreme ultraviolet interference lithography with incoherent light

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Exposure schedule for multiplexing holograms in photopolymer films

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

EUV Interference Lithography in NewSUBARU

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Improving registration metrology by correlation methods based on alias-free image simulation

Progresses in NIL Template Fabrication Naoya Hayashi

Registration performance on EUV masks using high-resolution registration metrology

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

DSA and 193 immersion lithography

Optical Microlithography XXVIII

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Progress & actual performance of the Selete EUV1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Evaluation of Technology Options by Lithography Simulation

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

Optics for EUV Lithography

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Mask Technology Development in Extreme-Ultraviolet Lithography

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Feature-level Compensation & Control

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

A New Inspection Method for a EUV Mask Defect Inspection System

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Design Rules for Silicon Photonics Prototyping

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

EUVL: Challenges to Manufacturing Insertion

membrane sample EUV characterization

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Sub-nanometer Interferometry Aspheric Mirror Fabrication

Toward 5nm node ; Untoward Scaling with Multi-patterning

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

EUV Lithography Transition from Research to Commercialization

Transcription:

Journal of Photopolymer Science and Technology Volume 27, Number 6 (2014) 725 730 2014SPST Technical Paper EUV Resists: Pushing to the Extreme Patrick Naulleau 1, Christopher Anderson 1, Weilun Chao 1, Suchit Bhattarai 2, Andrew Neureuther 2, Kevin Cummings 3, Shi-Hui Jen 3, Mark Neisser 3, and Bryan Thomas 3 1 Center for X-ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA, USA 2 EECS, University of California, Berkeley, CA, USA 3 SEMATECH, Albany, NY, USA Despite achieving 15-nm half pitch, the progress in extreme ultraviolet chemically amplified resist has arguably decelerated in recent years. We show that this deceleration is consistent with approaching stochastic limits both in photon counts and material parameters. Contact hole printing is a crucial application for extreme ultraviolet lithography and is particularly challenged by resist sensitivity due to inherent inefficiencies in darkfield contact printing. Checkerboard strong phase shift masks have the potential to alleviate this problem through a 4 increase in optical efficiency. The feasibility of this method is demonstrated using the SEMATECH-Berkeley Microfield Exposure Tool pseudo phase shift mask configuration and preliminary results are provided on the fabrication of an etched multilayer checkerboard phase shift mask. Keyword: photoresist, extreme ultraviolet, shot noise, phase-shift mask 1. Introduction With extreme ultraviolet (EUV) lithography now at the pilot line phase, the focus of 0.3 numerical aperture (NA) microfield exposure tools [1-3] is clearly on low-k 1 configurations studying 1x nm half pitch nodes. Moreover, to support research into the deep 1x nm half pitch regime, development is underway for new 0.5-NA tools [4,5]. Although significant progress has been made in chemically amplified resist performance over the past decade, it is evident that the rate of improvement has recently slowed down. Here we consider the improvement from the holistic perspective of resolution, line-edge roughness (LER), and sensitivity, known as the RLS tradeoff. The analytical framework for the RLS tradeoff [6] comes directly from the photon-limited stochastic performance and thus approaching the RLS limit implies approaching photon limited performance. Here we present stochastic modeling of line-space features studying where the leading resolution EUV chemically amplified resists stand compared to expected stochastic limits. Also of great importance for EUV lithography is contact hole printing and clearly the RLS tradeoff applies in this regime as well. In fact, the RLS tradeoff is even more onerous in this application due to the inherent inefficiencies in darkfield contact hole printing. A strong phase shift mask approach, however, has been proposed [7] to alleviate this challenge. Here we present the results of a proof of principle experiment on the SEMATECH-Berkeley Microfield Exposure Tool (MET) using its pseudo phase shift mode [8]. We also present preliminary results on the fabrication of an etched multilayer checkerboard phase shift mask. Received July 30, 2014 Accepted September 17, 2014 725

J. Photopolym. Sci. Technol., Vol. 27, No. 6, 2014 2. RLS status A variety of commercial chemically amplified resists can now achieve 16-nm half pitch. In Table 1 we compare three such resists to the 2011 resolution champion which had a resolution limit of 22 nm. The results in the table are based on patterning performed using the SEMATECH-Berkeley MET in pseudo phase shift mode [8]. SEM images from these various resists are shown in Fig. 1. In addition to including the individual performance terms such as resolution, sensitivity and line-width roughness (LWR), Table 1 also shows the normalized Z-factor [9] (normalized to the 2011 resist performance). The Z-factor is a numerical representation of the RLS tradeoff as defined in Ref. [6] (1) where R represents the resolution, L the LER (or LWR), and S the sensitivity. Table 1. Leading resolution EUV performance. 2011 Resist Resist Ref. A B Resolution 22 16 16 (nm) LWR (nm) 4.4 3.1 4.8 @ resolution Sensitivity 15 30 20 (mj/cm 2 ) Normalized 1.00 0.38 0.62 Z-factor resist Lc = 11.78 nm Resist C 15 3.8 22 0.35 Roughness exp. = 0.686-2 PSD (nm2/ m-1) Z R 3 L 2 S, The resist labels A, B, C represent the chronological order of the introduction of the resist, each being approximately 1 year apart. In addition to the strong resolution gain since 2011, we also see that the Z-factor has been improved in all three cases. However, not much gain in Z-factor is observed since the development of the first 16-nm resist. The stagnation in Z-factor improvement raises the question of photon noise limits. To assess the status of the photon limit we use the stochastic Multivariate Poisson Propagation Model (MPPM) [-12]. Starting with the known aerial image, resist absorptivity of approximately 0.004 nm -1, 30 nm film thickness and an assumed acid blur as determined from the measured LWR correlation length, we determine the photon-noise limited line-width roughness (LWR) as shown in row two of Table 2. Figure 2 shows an example of the correlation length measurement determined from the LWR power spectral density (PSD) for Resist A [12]. -3 0 1 2 Spatial Frequency (lines per unit length) ( m-1) Figure 2. Measured LWR power spectral density for 16-nm lines and spaces in Resist A. Figure 1. Images in resist for the three materials shown in Table 1. The labels on the images represent the half pitch. 726 Table 2. Predicted stochastic limited LWR performance compared to measured resist performance. Resist Resist Resist A B C Measured LWR 3.1 4.8 3.8 @ 16 nm (nm) Modeled Photon 2.1 2.7 2.5 limited LWR (nm) Estimated material 2.3 4.0 2.9 limited LWR (nm) Modeled material 2.4 2.4 2.4 limited LWR (nm)

J. Photopolym. Sci. Technol., Vol. 27, No. 6, 2014 The results show that even though we are not yet photon limited, we are getting close. Subtracting the theoretical photon limited LWR from the total measured LWR, provides an estimate of the material-limited LWR (row 3 of Table 2) which in all cases we find to be larger than the photon-limited contribution. Although typically described in the context of photon noise, the concept of stochastic modeling is not limited to the photon noise problem. Rather, the Poisson model readily applies to counting experiments in general. Noting that resist material non-uniformity can also be viewed as a counting problem, the stochastic model above can be extended to materials effects. For example, we may be concerned with the number of photo acid generators (PAGs) or quencher in a given volume of resist, or in the number of acids generated per absorbed EUV photon. All these items can be treated as random variables and propagated through the resist model to generate the dependent random variables of final acid count and deprotection. This multivariate approach gives rise to the MPPM model and allows a variety of stochastic terms to be studied in combination as well as individually. The fourth row of Table 2 shows the predicted material-limited LWR based on the inclusion of PAG, quencher, and acid generation random variables. The nominal values for PAG, quencher, and acid yield are based on average estimates provided by the resist suppliers and are assumed to be the same for all three resists, hence the same predicted stochastic material-limited LWR for all three. In the case of Resist A, we find the predicted material limited LWR to be very close to the estimated experimental material limit shown in row 3. Note that the multivariate model as described above does not necessarily capture all stochastic effects nor does it capture molecular effects. In the cases where the model closely matches this measurement, the expectation is that the MPPM model does capture the dominant experimental terms. 3. Improved efficiency contact hole patterning Contact hole patterning is expected to be one of the first high volume applications for EUV lithography and is a significant driver in shot noise requirements. The fact that conventional contact hole patterning typically requires at least 2 the dose compared to line-space patterning further exacerbates the concern over shot noise limits and resist sensitivity. The loss of efficiency relative to line-space patterning, however, is not a resist effect, but rather an optical effect. As described in the literature, the contact efficiency problem can thus be mitigated by mask design [7] with a phase shift design being the most effective. In fact, for dense contacts, a chromeless checkerboard design can be employed to provide on the order of 4 efficiency gain compared to a conventional darkfield contact hole pattern. 32 nm Figure 3. Phase only checkerboard design (left) which prints (right) a pitch split square grid of contacts. As shown in Fig. 3, the printing of the checkerboard pure phase mask results in a square grid of contacts where the printed contact size is one half the size coded on the mask. For example, the 32-nm checkerboard in Fig. 1, prints a dense grid of 16-nm contacts. For ease of fabrication, we first test this concept using the SEMATECH-Berkeley MET pseudo phase shift mode [8], allowing a conventional binary absorber mask to be used. In this case, the 180 phase shift regions shown in Fig. 3 are simply replaced with absorber. Figure 4 shows a conventional (not pseudo phase shift mode) print of the mask where we see the actual checkerboard pattern. The patterns are coded to print as 27, 26, and 25 nm in pseudo phase shift mode, thus in this conventional print are actually twice that size. 727

J. Photopolym. Sci. Technol., Vol. 27, No. 6, 2014 Figure 5 shows the pseudo phase shift mode print of the mask used to generate the print in Fig. 4. In this case we see the expected effect of pitch splitting and the contact grid becoming square. The printed contacts are 27, 26, and 25 nm. Figure 6, shows the results for the 20, 19 and 18 nm contacts. Figure 4. Conventional (not pseudo phase shift mode) print of the mask where we see the actual checkerboard pattern. The patterns are coded to print as 27, 26, and 25 nm in pseudo phase shift mode, thus in this conventional print are actually twice that size. Figure 5. Pseudo phase shift mode print using same mask as used in Fig. 2, now yielding 27, 26, and 25 nm square grid contacts. Figure 6. Pseudo phase shift mode print of 20, 19 and 18 nm dense contacts. 728 4. Fabrication of a true phase shift mask To achieve the efficiency gains of primary interest here, a true phase shift mask is needed instead of the pseudo phase shift. We are verifying the throughput gain through the fabrication of an etched multilayer phase mask. The process we use relies on an embedded etch stop at the proper location in the multilayer coating. The etch stop we use is Cr and its thickness must be carefully controlled to ensure that the multilayer above and below the etch stop remain in phase. Figure 7 shows the fabrication process flow. In addition to the embedded Cr etch stop, Cr is also used on top of the multilayer as a hard mask for the etch process. KRS e-beam resist is used to define the pattern transferred to the Cr hard mask. The resist is stripped and the multilayer etched using reactive ion etching stopping on the embedded Cr. The final step is to remove the residual Cr hard mask and etch stop. Figure 8 shows a cross-section scanning electron micrograph of a test grating fabricated using the process described above. In this case, however, we have not yet removed the Cr. The grating pitch is 200-nm which would correspond to 12.5-nm printed lines and spaces from the phase shift mask assuming a 4 reduction system. We test the effectiveness of the phase shift process by way of scatterometry (Fig. 9) on the Center for X-ray Optics Calibrations and Standards Beamline at the Advanced Light Source Synchrotron facility. As expected from a phase shift mask, strong suppression of the zero order is observed. Efficiencies of 20% are observed in both the 1 diffraction orders. Ideally we would expect approximately 30% efficiency in each order and the difference is attributed to the residual Cr. The next step is to apply this process to checkerboard patterns and fabricate a mask compatible with the SEMATECH-Berkeley MET for print tests.

J. Photopolym. Sci. Technol., Vol. 27, No. 6, 2014 0.25 500 nm period 0.20 Efficiency 0.15 0. 0.05 0.00-8 -6-4 -2 0 2 4 6 8 Angle (deg) Figure 9. Scatterometry measurement of phase shift test grating shown in Fig. 6. As expected, strong suppression of the zero order is observed. Efficiencies of 20% are observed in both the 1 diffraction orders. Figure 7. Fabrication process flow for etched multilayer phase shift mask. Figure 8. Cross-section scanning electron micrograph of test grating fabricated using process described in Fig. 5. Grating pitch is 200-nm corresponding to 12.5-nm printed lines and spaces from assuming a 4 reduction system. 5. Conclusion Stochastic modeling has been used to show that the recent slow down in RLS progress is consistent with approaching stochastic limits. The modeling suggests that further improvements require improvements in both photon and materials stochastics. To mitigate the dose impact of stochastic limits in the printing of contacts, a chromeless phase shift mask design has been proposed. The viability of such a mask from the patterning perspective has been verified through the fabrication of a pseudo phase shift mask version of the mask and printing on the SEMATECH-Berkeley MET. The expected patterning performance was observed. Fabrication of a true phase shift mask is underway and the fabrication process has been validated through the implementation of a phase shift grating and characterization in an EUV scatterometer. Acknowledgements The authors are greatly indebted to the CXRO MET operations team including Gideon Jones, Mark Binenbaum, Chanin King, Gayan Pothuhera, Jessica Ritland, Kurt Schlueter, and Jessalyn Sincher. We also thank Ken Maruyama of JSR, Shinji Tarutani of Fuji, and Melanie Orchard of Shin-Etsu for excellent resist support. The SEMATECH-Berkeley MET exposure facility at the Advanced Light Source is funded by 729

J. Photopolym. Sci. Technol., Vol. 27, No. 6, 2014 SEMATECH. The work was performed at Lawrence Berkeley National Laboratory s Advanced Light Source synchrotron facility and was supported by SEMATECH through the U.S. Department of Energy under Contract No. DE-AC02-05CH11231. References 1. C. Anderson, D. Ashworth, L. Baclea-An, S. Bhattari, R. Chao, R. Claus, P. Denham, K. Goldberg, A. Grenville, G. Jones, R. Miyakawa, K. Murayama, H. Nakagawa, S. Rekawa, J. Stowers, P. Naulleau, The SEMATECH Berkeley MET: demonstration of 15-nm half-pitch in chemically amplified EUV resist and sensitivity of EUV resists at 6.x-nm, Proc. SPIE, 8322, (2012) 832212. 2. Y. Tanaka, K. Matsunaga, S. Magoshi, S. Shirai, K. Tawarayama, and H. Tanaka, Resolution capability of SFET with slit and dipole illumination, Proc. SPIE, 7969 (2011) 79690Q. 3. J. Chun, S. Jen, K. Petrillo, C. Montgomery, D. Ashworth, M. Neisser, T. Saito, L. Huli, D. Hetzer, SEMATECH s Cycles of Learning Test for EUV Photoresist and its applications for Process Improvement, Proc. SPIE, 9048 (2014) 90481Z. 4. K. Cummings, D. Ashworth, M. Bremer, R. Chin, Y. Fan, L. Girard, H. Glatzel, M. Goldstein, E. Gullikson, J. Kennon, B. Kestner, L. Marchetti, P. Naulleau, R. Soufli, J. Bauer, M. Mengel, J. Welker, M. Grupp, E. Sohmen, S. Wurm, Update on the SEMATECH 0.5 NA extreme-ultraviolet lithography (EUVL) microfield exposure tool (MET), Proc. SPIE, 9048 (2014) 90481M. 5. H. Glatzel, D. Ashworth, D. Bajuk, M. Bjork, M. Bremer, R. Chin, M. Cordier, K. Cummings, L. Girard, M. Goldstein, E. Gullikson, R. Hudyma, J. Kennon, R. Kestner, L. Marchetti, K. Nouri, P. Naulleau, R. Soufli, E. Spiller, D. Pierce, Projection optics for EUVL microfield exposure tools with 0.5 NA, Proc. SPIE, 9048 (2014) 90481K. 6. G. Gallatin, P. Naulleau, R. Brainard, Fundamental Limits to EUV Photoresist, Proc. SPIE, 6519 (2007) 651911. 7. P. Naulleau, C. Anderson, S. Bhattarai, A. Neureuther, EUV extendibility: challenges facing EUV at 1x and beyond, J. Photopolym. Sci. Technol., 26 (2013) 697. 8. C. Anderson, D. Ashworth, L. Baclea-An, S. Bhattari, R. Chao, R. Claus, P. Denham, K. Goldberg, A. Grenville, G. Jones, R. Miyakawa, K. Murayama, H. Nakagawa, S. Rekawa, J. Stowers, P. Naulleau, The SEMATECH Berkeley MET: demonstration of 15-nm half-pitch in chemically amplified EUV resist and sensitivity of EUV resists at 6.x-nm, Proc. SPIE, 8322 (2012) 832212. 9. T. Wallow, C. Higgins, R. Brainard, K. Petrillo, W. Montgomery, C. Koay, G. Denbeaux, O. Wood, Y. Wei, Evaluation of EUV resist materials for use at the 32 nm half-pitch node, Proc. SPIE, 6921 (2008) 69211F.. G. Gallatin, Resist blur and line edge roughness, Proc. SPIE, 5754,(2005) 38-52. 11. P. Naulleau and G. Gallatin, The effect of resist on the transfer of line-edge roughness spatial metrics from mask to wafer, J. Vac. Sci. & Technol., B, 28 (20) 1259. 12. Stochastic modeling and LWR correlation length measurements performed using SuMMIT LER analysis software (www.lithometrix.com). 730