Line Width Roughness Control for EUV Patterning

Similar documents
EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Impact of EUV photomask line edge roughness on wafer prints

2009 International Workshop on EUV Lithography

Progresses in NIL Template Fabrication Naoya Hayashi

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Toward 5nm node ; Untoward Scaling with Multi-patterning

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Negative tone development process for double patterning

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Novel EUV Resist Development for Sub-14nm Half Pitch

PROCEEDINGS OF SPIE. Setting up a proper power spectral. density (PSD) and autocorrelation analysis for material and process

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Advanced Patterning Techniques for 22nm HP and beyond

Acceleration of EUV Resist Development with EB Tool

EUV Resists: Pushing to the Extreme

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Mask Technology Development in Extreme-Ultraviolet Lithography

Lithography Industry Collaborations

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

SEMATECH Defect Printability Studies

Progress & actual performance of the Selete EUV1

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

EUVL getting ready for volume introduction

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Fundamental Aspect of Photosensitized Chemically Amplified Resist How to overcome RLS trade-off

Diffractive optical elements and their potential role in high efficiency illuminators

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Litho Metrology. Program

EUV Interference Lithography in NewSUBARU

Update on 193nm immersion exposure tool

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Progress in full field EUV lithography program at IMEC

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Optics for EUV Lithography

Metrology in the context of holistic Lithography

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Improving registration metrology by correlation methods based on alias-free image simulation

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

DSA and 193 immersion lithography

Critical Challenges of EUV Mask Blank Volume Production

Imaging for the next decade

Lithography on the Edge

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Purpose: Explain the top advanced issues and concepts in

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Business Unit Electronic Materials

EUV Lithography Transition from Research to Commercialization

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

OPC Scatterbars or Assist Features

Critical Dimension Sample Planning for 300 mm Wafer Fabs

CD-SEM for 65-nm Process Node

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

State-of-The-Art Dielectric Etch Technology

(Complementary E-Beam Lithography)

Flare compensation in EUV lithography

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Optimizing FinFET Structures with Design-based Metrology

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Sub-50 nm period patterns with EUV interference lithography

KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Process Optimization

Challenges of EUV masks and preliminary evaluation

Development of Nanoimprint Mold Using JBX-9300FS

Mask Fabrication For Nanoimprint Lithography

From ArF Immersion to EUV Lithography

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Critical issue of non-topcoat resist for ultra low k 1 lithography

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

High-NA EUV lithography enabling Moore s law in the next decade

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Development of X-ray Tool For Critical- Dimension Metrology

Beyond Immersion Patterning Enablers for the Next Decade

EUVL Challenges for Next Generation Devices

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

OPC Rectification of Random Space Patterns in 193nm Lithography

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Transcription:

Line Width Roughness Control for EUV Patterning Shinichiro Kawakami, Lior Huli, Shannon Dunn, Akiteru Ko TEL Technology Center, America, LLC., 255 Fuller Road, STE 244, Albany, NY 12203 USA Karen Petrillo, George Huang, Dominic Ashworth, Liping Ren, KY Cho, Stefan Wurm SEMATECH,257 Fuller Road, Suite 2200, Albany, NY 12203 USA 1

Combined Process Introduction Controlling line width roughness (LWR) is a critical issue in extreme ultraviolet lithography (EUVL). High sensitivity, high resolution, and low LWR are required for EUV lithography resist. However, it is difficult to realize optimal properties simultaneously through chemical tuning alone. The track process is one of the factors that impacts LWR. Enhancing the track processes used in EUV lithography is necessary to control LWR. Initial Resist Pattern Post FIRM TM Resist Pattern Post Smoothing Resist Pattern Post Etching SiN CD:29.1nm LWR:4.59nm CD:29.3nm LWR:4.57nm (0.5%) CD:29.1nm LWR:4.12nm (10.2%) CD:34.0nm LWR:3.84nm (16.4%) 2011 SPIE 7969-37 Karen Petrillo, at el. 2

Outline Resist Process LWR Improvement Developer process optimization FIRM TM Process effect Smoothing Combination experiment result Through Etch LWR Improvement Summary 3

DEV process optimization Developer Process Optimization POR Static-A CD: 31.2nm LWR: 5.17nm CD: 31.2nm LWR: 4.77nm 7.7% improved EUV Resist 75nm 32nm Pitch 70nm Development process is one of the key factors for LWR improvement. LWR was improved by pattern profile control Static-A recipe showed the best result, LWR was improved 7.7% 4

FIRM TM (Finishing up by Improved Rinse Material) FIRM TM Process FIRM TM for Pattern Collapse Mitigation 50k 300k TMAH/TBAH EUV Resist 75nm 28nm HP Pattern Collapse Plattern Collapse confirmed on only low mag 50k 300k 50k 300k FIRM TM Extreme10 improve pattern collapse margin by 1.82 Aspect Ratio(Post Development) TBAH with FIRM TM Extreme10 combination shows further improvement, pattern collapse margin was drastically improved up to 1.97 Aspect Ratio 5

FIRM Process effect for LWR FIRM for LWR reduction EUV Resist 75nm 32nm Pitch 70nm LWR was improved up to 7.0% by FIRM process The effectiveness depends on FIRM chemical POR(w/o FIRM) FIRM-A FIRM-B CD: 31.9nm LWR 5.22nm CD: 31.3nm LWR 5.03nm 3.5% improved CD: 31.9nm LWR 4.85nm 7.0% improved 6

Post Smoothing Pre Smoothing Smoothing Process Smoothing Process Smoothing Baseline Result EUV Resist 75nm 32nm Pitch 70nm CDU CD: 32.8nm LWR:5.02nm Pre CDU: 1.73nm CDU CD: 33.4nm LWR:4.49nm 10.6% improved Post CDU :1.35nm Smoothing process improved LWR 10.6% with no huge impact to average CD or CD uniformity There is no significant differences on x-section images 7

Combination Experiments Coater/Developer System : CLEAN TRACK ACT 12 (Tokyo Electron LTD) CLEAN TRACK LITHIUS Pro V (Tokyo Electron LTD) Resist : EUV resist material, Film thickness 75nm on Si Target CD : 32nm Pitch 70nm Developer solution : TBAH Rinse solution : FIRM Extreme10 EUV Exposure tool System : alpha demo tool (ASML) Illumination : N.A.=0.25 σ=0.5 Conventional Measurement SEM : Hitachi CG4000 Measurement settings Smoothing : Conventional Smoothing Scheme Smoothing Scheme2: Fine Tuning Smoothing Scheme 8

EUV Resist 75nm 32nm Pitch 70nm Combination Result Initial CD:32.1 Developer Optimization FIRM TM Smoothing CD:32.9 Process-A 10.4% LWR:5.20 8.1% CD:32.6 LWR:4.66 10.4% CD:33.1 Process-B Process-C Process-D LWR:4.78 8.1% 1.5% LWR:4.71 9.4% LWR:4.68 10.0% LWR:4.21 19.0% CD:32.6 LWR:4.17 19.8% Scheme2 LWR:3.90 25.0% Individual techniques are additive techniques and those are available for combination process Smoothing process improves LWR 10.4-11.9%, and Smoothing scheme2 improves LWR 16.7% Process-D shows the best result, LWR was improved 25.0%, final LWR was 3.90nm 2.1% 11.9% CD:32.1 CD:32.0 11.5% 16.7% CD:32.6 CD:32.6 9

Result Plots 10.4% 8.1% 9.4% 10.0% 19.0% 19.8% 25.0% * Number: LWR Improved% from A.Initial DEV optimization, FIRM TM and Smoothing results show statistically significant differences All combined Process-D shows the best result; LWR was improved 25.0%, final LWR was 3.90nm 10

Through Etch Experiments Through Etch Experiments Process Flow Initial LWR Reference Process-D Etch Smoothing Smoothing Scheme2 FIRM TM DEV Optimization Post LWR Coater/Developer System : CLEAN TRACK ACT 12 (Tokyo Electron LTD) CLEAN TRACK LITHIUS Pro V (Tokyo Electron LTD) Resist : EUV resist material, Film thickness 75nm on Si Target CD : 32nm Pitch 70nm Developer solution : TBAH Rinse solution : FIRM Extreme10 EUV Exposure tool System : alpha demo tool (ASML) Illumination : N.A.=0.25 σ=0.5 Conventional Measurement SEM : Hitachi CG4000 Etching system System : Tactras (Tokyo Electron LTD) Experiments stack layer EUV Resist (75nm) SiARC OPL SiN SiON Si SiN SiON Si Etch stop on Si 11

Through Etch LWR improvement EUV Resist 75nm 32nm Pitch 70nm Initial Developer Optimization and FIRM TM Resist Smoothing Post Etch Smoothing CD:35.2 CD:41.6 Baseline 12.0% Process-D with Etch Smoothing LWR:4.66 8.6% LWR:3.59 23.0% LWR:4.10 12.0% CD:33.3 CD:33.4 CD:40.1 LWR:4.26 8.6% 15.7% 7.2% LWR:3.33 28.5% +16.5% 12.0% 8.6% 23.0% 28.5% Single Etch smoothing improved LWR 12.0% Resist process LWR improvement was confirmed through etch LWR, improvement was increased 16.5% from single Etch Smoothing Process-D with Etch Smoothing showed 28.5%, final LWR achieved 3.33nm * Number: LWR Improved% from A.Initial 12

X-section images Baseline No Smoothing(EUV Resist) Baseline Post Etch Smoothing(SiN) LWR:4.66 LWR:4.10 Process-D Post Smoothing(EUV Resist) Process-D Post Etch Smoothing(SiN) LWR:3.90 LWR:3.33 Profile of post smoothing is greatly improved over baseline profile, pattern surface is smoother Profile of post etch, Process-D shows slightly better LWR profile in visually 13

PSD (arb.unit) Power Spectral Density Analysis Baseline DEV optimization and FIRM Smoothing Etch Smoothing 100 10 1 0.1 1 10 100 Frequency(1/um) DEV process optimization and FIRM TM are relatively effective in middle frequency regions improvement Smoothing process shows improvement in wider region of frequency, especially from middle to high Etch Smoothing shows improvement in middle frequency region 14

Combined Process Summary Three techniques were found for LWR improvement; DEV process, FIRM TM and Smoothing LWR is improved up to 7.7% by development process optimization FIRM TM process up to 7.0% LWR improvement Smoothing process improved LWR 10.4-11.9%. Smoothing scheme2 shows further improvement, the improvement is 15.7-16.7% The combination process shows 25.0% LWR improvement on resist process Additive effect was confirmed in all techniques combination experiments Resist process LWR improvement was transferred in post Etch LWR, improvement increased 16.5% from Etch Smoothing only All combination process shows 28.5%, final optimized LWR achieved was 3.33nm Initial (Resist Pattern) Developer Process Optimization with FIRM TM (Resist Pattern) Smoothing (Resist Pattern) Post Etching (SiN) CD:35.2 CD:33.3 CD:33.4 CD:40.1 8.6% 15.7% 7.2% LWR:4.66 LWR:4.26 8.6% LWR:3.59 23.0% LWR:3.33 28.5% 15

Acknowledgements SEMATECH Alexander Friz SEMATECH RMDC staff CNSE Jeffrey St. Louis ASML Jennifer Massier Tokyo Electron Yamanashi LTD Taichi Okano Kazuki Narishige Eiichi Nishimura Tokyo Electron Kyusyu LTD Process technology department staff 16

Thank you for your attention 17