MAPPER: High throughput Maskless Lithography

Similar documents
Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Competitive in Mainstream Products

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

(Complementary E-Beam Lithography)

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Scope and Limit of Lithography to the End of Moore s Law

HOW TO CONTINUE COST SCALING. Hans Lebon

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Changing the Approach to High Mask Costs

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

EUV Supporting Moore s Law

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Electron Beam Lithography. Adam Ramm

Optical Microlithography XXVIII

Mask Technology Development in Extreme-Ultraviolet Lithography

Lithography in our Connected World

Micro Photonics, Berlin

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

Energy beam processing and the drive for ultra precision manufacturing

Feature-level Compensation & Control

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Application-Based Opportunities for Reused Fab Lines

Part 5-1: Lithography

Imaging for the next decade

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

FRAUNHOFER INSTITUTE FOR PHOTONIC MICROSYSTEMS IPMS. Application Area. Quality of Life

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

EUVL getting ready for volume introduction

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Optics for EUV Lithography

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

MICROCHIP MANUFACTURING by S. Wolf

Optical Maskless Lithography - OML

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013

IMI Labs Semiconductor Applications. June 20, 2016

Roadmap Semiconductor Equipment Innovation Agenda

Advanced Packaging Solutions

Market and technology trends in advanced packaging

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Metrology in the context of holistic Lithography

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

The Future of Packaging ~ Advanced System Integration

STM RH-ASIC capability

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Design Rules for Silicon Photonics Prototyping

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Technology & Manufacturing

Intel Technology Journal

How material engineering contributes to delivering innovation in the hyper connected world

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University

Registration performance on EUV masks using high-resolution registration metrology

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Lithography Session. EUV Lithography optics current status and outlook. F. Roozeboom Professor TU Eindhoven & TNO-Holst Centre, Eindhoven, Netherlands

Front to Back Alignment and Metrology Performance for Advanced Packaging

Introduction of ADVANTEST EB Lithography System

Enabling Semiconductor Innovation and Growth

Lithography Industry Collaborations

EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT

Beyond Moore the challenge for Europe

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Simulation of High Resistivity (CMOS) Pixels

Transcription:

MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1

Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology Wrap up and conclusions CEA- Leti Alterative Lithography workshop 2

Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology Wrap up and conclusions CEA- Leti Alterative Lithography workshop 3

17 years of lithography innovation @ Mapper The vision of one man, Arthur del Prado (1931-2016) Father of the semiconductor equipment industry Involved in the creation of ASML, ASM International and BESI CEO of ASM International (1964-2008) Academic research initiated at TU Delft Foundation of Mapper as spinoff from TU Delft Key milestones 80m investment Established Moscow site Shipped two learning prototypes Shipped FLX-1200 Demo version available Ship 2 nd FLX-1200 1998 2000 2008 12 14 15 17 18 Achieved specifications 200 mm & 300 mm wafer size 888 optical fibres connected to a single chip 2 nm beam position stability 1,352 electrostatic micro-lenses 3 nm stage positioning over full 300 mm range 17µA total current on wafer level 66,248 parallel electron beams 5kV acceleration voltage 0.5 nm alignment repeatability 28 nm node compatible imaging 26x33 mm 2 field size 3,200,000, 000,000 bits per second streaming rate # of Patents CEA- Leti Alterative Lithography workshop 4

Mapper makes e-beam direct write for volume manufacturing possible Traditional e-beam 1 electron beam per system No optical alignment Mapper FLX 65,000 beamlets per unit Compatible, optical, alignment FLX extension > 1,000,000 beamlets per unit Evolution on the same platform Unit clustering for >40 wph No full wafer placement accuracy Matching to DUV and 193i < 25 full 300 mm wafers per month > 450 wafers per month (300 mm) >5,000 wafers per month/unit Throughput proportional to pattern density and resolution + Throughput independent of pattern density and resolution + It takes minutes only to expose a wafer at <50nm + Lab use only Down to 40nm logic node 28nm logic node and below CEA- Leti Alterative Lithography workshop 5

Mapper roadmap Version: December 2017 Productivity and CDu Ultra-advanced logic / cutting Unique ICs N40 logic Spectral filters Photonics Capacity ramp-up 1.6Mbm 5.2Mbm Process development Pilot R&D 65,000bm III-V 19 wpd Clustering Feasibility FLX-1300 series FLX-1200 series Demonstrator Pre-alpha series 2005-2007 2008-2011 2012-2018 2019 6

Status FLX-1200: full column operational at CEA-LETI as of August 2017 65k beams in 13x2 mm 2 slit. First exposures after upgrade to fully programmable blanker: 60 nm HP (N40) Getting close to covering a full 40 nm HP (sub N28) 300 mm wafer in 60 minutes CEA- Leti Alterative Lithography workshop 7

Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology Wrap up and conclusions CEA- Leti Alterative Lithography workshop 8

Many different end markets targeted by Mapper Mapper applications Tool Description I R&D, prototyping and technology evaluation FLX-1300 Use in research labs/fabs for scientific experiments, prototyping and ultra-small-scale series production Mapper market potential + II Fab capability expansion Defense and high-security applications III-V photonics devices & circuits Specialty silicon circuitry III Integrated CMOS sensor optics IV Truly unique ICs RFID Scale-up across applications FLX-1300 FLX-1300 FLX extension FLX-1300 FLX-1300 FLX extension Use of maskless litho for small-series production (e.g., chip emulation) and to avoid external treatment of design data in mask shops Use for producing III-V photonics circuits and passive devices, avoiding mask cost and enabling new device design features that cannot be produced with mask-based lithography Use for small-series products for specialty applications in silicon, as a low-cost replacement of a mask-based system Use for novel optical filters/elements that are directly integrated on top of a silicon CMOS sensor that cannot be produced using mask-based optical lithography Use for 1 layer per chip creating unique, hard-wired ID for RFID tag to be used as trusted root of trust for security applications Embedding of unique, hard-wired IDs into security chips across different applications and uses (e.g., smart cards, IoT, ) CEA- Leti Alterative Lithography workshop 9

Technology migration with Mapper: <90nm SiGe technology on 8 Basic SiGe transistor (and M1) using Mapper for small feature size and (much) higher f T Improved lateral control Baseline CMOS and M2-M6 stack keeps using conventional M130 flow 60 nm bipolar transistor incl. Ge implant (e-beam) Mapper layers CEA- Leti Alterative Lithography workshop 10

Technology migration with Mapper: ROM and structured ASIC M28 old ROM Mask ROM via (1 expensive OPC mask) M28 Back End M2-M11 (20 mask) M28 new with Mapper ROM via layer (1 Mask-free Mapper) M28 CMOS Front End up to M1 Dual oxide for LV (0.9V) and MV (1.3V) transistors (30 immersion mask with OPC) Mapper layers Mapper layer replaces very expensive ROM-via programming layer in nodes where Flash is not available Classical optical mask very expensive due to closely spaced repetitive via pattern Mapper has no problem with these patterns and could even allow smaller ROM dimensions Mapper layer has a much faster turn-around time due to 100% software; one day cycles possible Eliminate need to add external memory simpler and lower cost devices CEA- Leti Alterative Lithography workshop 11

Mapper tool can generate unique pattern for every chip Data security Industrial infrastructure IoT gadgets Digital rights management Mobile storage Smart cards Traceability Anti-counterfeiting Automotive Aviation Medical Postal Retail Defense spare IC s for 20+ year old equipment Luxury goods Bank bills, coins Wafer IC design Unique block CEA- Leti Alterative Lithography workshop 12

Technology migration with Mapper: Every chip unique M40 old ROM Mask M40 Back End M3-M9 (14 mask) M40 new with Mapper Secure block layers (1 via layer) M40 CMOS Front End up to M2 Dual oxide for LV (0.9V) and MV (1.3V) transistors (28 immersion mask with OPC) Mapper layers Mapper allows hard-wired, per chip unique IP E.g. security code generator Leaves all other parts off technology mask stack unchanged No additional mask costs, only additional processing time This example assumes secure IP on top of GO1+M1+M2 fixed block structure One via layer with Mapper Many variations possible CEA- Leti Alterative Lithography workshop 13

Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology Wrap up and conclusions CEA- Leti Alterative Lithography workshop 14

Redundancy: exposing with 50% of beams at the same time M L (Not to scale) K J I Writing beam H Non-writing beam M K I G E C A We can t assume all beams are always working or fully within specification Therefore before every full scan of the wafer all beams are measured 2 µm G F E D Then the good beams are used to expose the wafer Therefore we need an additional redundancy scan to complete the whole wafer C B 4 µm A 13 x 2 µm = 26 µm Wafer scan CEA- Leti Alterative Lithography workshop 15 2 µm deflection

2mm 2mm+ overscan ~CD = 42nm 2mm 2mm+ overscan Beam properties corrected in datapath based on on-tool metrology Shift -beam position -field size + shape (for overlay) -field position Before After Beam to beam dose -beam to beam current -part of btb deflector strength Scale - beam to beam deflector strength CEA- Leti Alterative Lithography workshop 16

Results of on tool metrology qualification in Leti presentation CEA- Leti Alterative Lithography workshop 17

Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology Wrap up and conclusions CEA- Leti Alterative Lithography workshop 18

Wrap up and conclusions Roadmap: FLX-1300: step in manufacturability, availability, overhead reduction FLX-1300: will support various wafer sizes and substrates Path to 1.6M and 5.2M beams to improve productivity and CDu Application highlights: Fab capability expansion Truly unique IC s Qualification of on-tool metrology by in-resist metrology Beam selection Tool calibrations CEA- Leti Alterative Lithography workshop 19

Put your design on the demo shuttle FLX-1200 can print fields of 5mm x 5mm If you want your design printed, contact: Bert Jan Kampherbeek Mapper Lithography Bert.Jan.Kampherbeek@mapper.nl Laurent Pain CEA-Leti Laurent.Pain@cea.fr Thank you for your attention CEA- Leti Alterative Lithography workshop 20