EUVL Development in JAPAN

Similar documents
Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Development Activities on EUVL at ASET

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Nikon EUVL Development Progress Update

2009 International Workshop on EUV Lithography

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

National Projects on Semiconductor in NEDO

Defect printability of thin absorber mask in EUV lithography with refined LER resist

EUV Interference Lithography in NewSUBARU

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Development of ultra-fine structure t metrology system using coherent EUV source

EUV Lithography Transition from Research to Commercialization

EUV Actinic Blank Inspection Tool Development

Update on 193nm immersion exposure tool

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

EUVL Activities in China

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Light Sources for High Volume Metrology and Inspection Applications

Challenges of EUV masks and preliminary evaluation

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

EUVL getting ready for volume introduction

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

S26 Basic research on 6.x nm EUV generation by laser produced plasma

Laser Produced Plasma Light Source for HVM-EUVL

NIST EUVL Metrology Programs

EUVL Challenges for Next Generation Devices

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Progress in full field EUV lithography program at IMEC

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUVL Activities in China

Mask Technology Development in Extreme-Ultraviolet Lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process


Progresses in NIL Template Fabrication Naoya Hayashi

Collector development with IR suppression and EUVL optics refurbishment at RIT

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

EUV Light Source The Path to HVM Scalability in Practice

Comparison of actinic and non-actinic inspection of programmed defect masks

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Progress & actual performance of the Selete EUV1

Sub-50 nm period patterns with EUV interference lithography

Fundamental Aspect of Photosensitized Chemically Amplified Resist How to overcome RLS trade-off

SEMATECH Defect Printability Studies

Optics for EUV Lithography

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

Photolithography II ( Part 2 )

EUV lithography: today and tomorrow

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Process Optimization

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

MICROCHIP MANUFACTURING by S. Wolf

Scope and Limit of Lithography to the End of Moore s Law

EUVL: Challenges to Manufacturing Insertion

Registration performance on EUV masks using high-resolution registration metrology

KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM

EUV lithography: status, future requirements and challenges

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

CD-SEM for 65-nm Process Node

Micro- and Nano-Technology... for Optics

Photon Diagnostics. FLASH User Workshop 08.

Acceleration of EUV Resist Development with EB Tool

Lecture 5. Optical Lithography

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Diffractive optical elements and their potential role in high efficiency illuminators

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

Novel EUV Resist Development for Sub-14nm Half Pitch

Device Fabrication: Photolithography

High Power CO 2 Laser, EUVA

Bridging the Gap Between Tools & Applications

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

EUV Multilayer Fabrication

Transcription:

EUVL Workshop 2010, June24, Maui EUVL Development in JAPAN ~ Challenge, Idea, and Latest Achievement ~ Iwao Nishiyama 1

EUVL Development in Japan 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 Light Source Exposure Tool Metrology Mask & Resist Integration ASET EUV Basic Technologies Supported by NEDO ASET Wavefront measurement Mask blank inspection Supported by NEDO Leading Project (LPP source) Supported by MEXT EUVA Source Pj Supported by NED EUVA Tool Pj Supported by NEDO Mask/Resist Process (R&D by companies) Resist Supported by NEDO SFET Tool Development(R&D by company) EUV1 Wavefront measurement Wavefront measurement Supported by NEDO R&D by company High Reliability Source Supported by NEDO High Power Source R & D by company Mask Supported by NEDO Lithography/Mask R&D by company National Foundation Company Pj 2

Source 3

First proposal of Tin Target G.O Sullivan and P.K.Carroll J. Opt. Soc. Am. 71 (1981) 227 4d-4f narrow band emission 50 Sn neutral absorption 13.5 nm 54 Xe 50 60 Tomie, EUVL Workshop 2000 (San Francisco) High CE of Tin target was firstly proposed. Tanuma, EUVL Symposium 2006 (Barcelona) Charge selective spectra were directly measured. Tokyo metropolitan University 4

Conversion efficiency of CO2 LPP vs YAG LPP Conversion Efficiency [arb. Units] 1.0 0.5 CO 2 LPP vs YAG LPP 0 10 9 10 10 10 11 10 12 10 13 Laser Intensity [W/cm 2 ] Okada, Jpn. J. Appl. Phys. (2004) L585 Tanaka, Appl. Phys. Lett. 87 (2005) 041503. Electron Temperature [ev] Density-temperature map of 13.5-nm EUV conversion efficiency 4% 3% 2% 1% Ion density [/cm 3 ] Laser Intensity [W/cm 2 ] 10 11 W/cm 2 1μm CE=1.43% 10 10 W/cm 2 10.6μm CE=2.22% K. Nishihara, EUVL Symposium 2004 (Miyazaki) Feasibility of CO2 laser driven LPP was firstly demonstrated. 5

6

7

Optics 8

Metrology for aspherical mirror Visible PDI for High Accuracy High precision interferometer for production PDI: Point diffraction interferometer K. Ota, Proc. SPIE 4343 (2001) 543 (nm rms) 0.10 0.08 0.06 Reproducibilit y 0.04 0.02 0.00 measured (05/03/23) measured (05/05/25) 0 200 400 600 800 1000 Accumulation number Target: 0.05nm rms New-concept interferometers were developed for λ/30 projection optics 9

At-wavelength wave-front metrology of optics Purpose:Development of standard for WFE metrology 3 m in Diameter, 20 ton in Weight EUV Illumination PDI LSI Gratings Test Optics Projection 1000mm 30mm First Pin-hole 650nm PDI 干渉像 Vacuum Pump Camera Schematic View of 6 Mirror System The System was installed at Hyogo Pref. Univ. Accuracy of 0.1nm rms was achieved Large Window and 2nd Pinhole of 50-80nm Interference fringes obtained by PDI 10

Tool 11

1986 NTT EUVL Tool development in Japan - Small Field Tool - 1993 SORTEC 50 nm hp 5X, 8X Schwartz Child, 11nm/12.4 nm H. Kinoshita, Proc. Fall Mtg. Jpn Soc. Appl. Phys. (1986) H. Kinoshita, J. Vac. Sci. Technol. B7(1989) 1648 2001 ASET(HiNA) Nikon 32X Schwartz Child, 13 nm / 4.5 nm H. Oizumi, 1993 Jpn J Appl. Phys. 32 (1993) 5914 H. Nagata, Jpn. J. Appl. Phys. 33 (1994) L1192 2007 Selete(SFET) Exposure chamber Canon Source (Xe DPP) In-line 5X NA0.32 T. Oshino, Proc. SPIE 5037 (2003) 75 H. Oizumi, Proc. SPIE 5751 (2005) 102 5X NA0.32 H. Tanaka, EUVL Symposium 2007 (Sapporo) K. Tawarayama, Jap. J. Appl. Phys. 47 (2008) 4866 12

EUVL Tool development in Japan -Full Field Tool - 1997 HIT(ETS) 2008 Nikon-Selete(EUV1) Vacuum chamber Optics housing W & M alignment system Mask M1 M3 M2 Optical Bbase a P laplate Wafer Vibration Isolation Bench 5X, NA 0.1, SR Source 3 aspheric mirror system 4X, NA 0.25, Xe-DPP 6 aspheric mirror system T. Watanabe, Jpn. J. Appl. Phys. 36 (1997) 7597 I. Mori, Proc. SPIE 6921 (2008) 692102-1 13

Mask 14

EUV Mask Development in Selete Mask Infrastructure Particle free mask handling Actinic Blank Inspection EUV 13.5 nm Pattern Inspection DUV 199 nm Pattern Repair FIB Double pod MPE-tool Blank Inspection M3350 0.008 defects/transfer 0.002particlse/transfer Mask pattern Printed Image Mask defect printability Phase defect Pattern Defect Defect repair Semi standardization of double pod Contamination control シリカ系 H C H O H CH O H O Si H CH Si O C C CH 2 水素率高 C CH C H C C CH CH H C C CH CH CH CH C C C C CH H 重量密度低 CH O C CH O CH C H Si H CH O H 2 C 不飽和芳香族鎖状コンタミネーション膜 C O OH C SiH C C CH CH CH C C C 炭素率高 H C CH C C CH C C C CH 黒鉛化率低 O C CH C O CC CH CH CH C C CH C C H 重量密度高 O C C C Si O Si H Si O O O Si C Si O Si キャップ層 Si Si Si O Si Si O Si O O O OSi 自然酸化膜 Model コンタミ薄膜のモデル例 of carbon contamination Carbon analysis Printability Cleaning Resist outgas 15

Sensitivity of Pattern defect inspection Low reflectivity absorber(r4%) Allowable defect size(10 % CD change) Base pattern hp32nm hp128nm (hp128nm) hp27nm hp108nm (hp108nm) hp22nm hp88nm (hp88nm) Defect type Edge extrusion extension Edge intrusion intrusion Edge extrusion extension Edge intrusion Edge extrusion extension Edge intrusion Illumination C-pol. P-pol. C-pol. P-pol. C-pol. P-pol. C-pol. P-pol. C-pol. P-pol. C-pol. P-pol. Defect size [nm] (Square root of area) 80 75 70 65 60 55 50 45 40 35 30 25 20 15 10 5 Defect size:35nm LR absorber (R4% R4%) ML (Mo/Si 40pairs) Substrate *LR= Low Reflectivity LR*- TaSi (51nm) CrN buffer (10 nm) Si cap hp32nm hp32nm (128nm@mask) (128nm@mask) Both Both of of c- c- and and P- P- polarizations polarizations have have enough enough sensitivity sensitivity hp27nm hp27nm (108nm@mask) (108nm@mask) p-polarization p-polarization has has enough enough sensitivity sensitivity hp22nm hp22nm (( 88nm@mask) 88nm@mask) p-polarization p-polarization has has potential potential for for hp22nm hp22nm application, application, but but further further improvement improvement is is needed needed Defect size:41nm 16

Defect printability test by programmed Defect Multi-shot images ware averaged to reduce resist LER Mask Defect Exposure Shot1 Shot2 Printed images Shot7 Hp32 Hp32 nm(7 nm(7 shots shots averaging) averaging) average (Edge intrusion) Comparison between Simulation and Printed CD change Space Width [nm] 36 34 32 30 28 26 24 22 20 18 16 14 12 Edge Opaque Defect Simulation Measured 0 4 8 12 16 20 24 Defect Size (1x) [nm] Line Width [nm] 36 34 32 30 28 26 24 22 20 18 16 14 12 Edge Clear Defect Simulation Measured 0 4 8 12 16 20 24 Defect Size (1x) [nm] Using the CD Averaging Method, simulated results were verified by actual PD printing test. 17

Actinic Blank Inspection POC tool (MIRAI I and II) (Top view) Full-field Inspection tool (Front view) YAG Laser 532nm, 10Hz Collector Mirror 6025 Mask Blank Zr Filter EUV LD Tape target Schwarzschild Optics (20x or 26x, NA=0.2) PC Ellipsoidal Mirror Incident EUV light Scattered EUV light CCD camera Zr Filter EUV light source (DPP, 1.9 khz) Illumination optics Vibration isolator CCD camera Schwarzschild optics (26X) 6025 Mask blank Mask stage In house LPP EUV light source (10 Hz) Mask stage stroke: X,Y: 10 mm,2 mm (Manual operation) Static imaging mode Commercial available EUV source (1.9 khz) Mask stage stroke: X,Y: 169 mm,169 mm (Automatic controlled) TDI mode & Static imaging mode 18

Detection probability improvement 1Q 2009 2Q 2009 1Q 2010 One FOV (0.5mm sq.) Full-field of mask blank Threshold Noise Filter Defect capture rate (%) 100 80 60 40 20 0 60000 1 false defect 40000 20000 Defect volume (nm 3 ) 80,000 false defects 0 1 false defect 1 false defect 60000 40000 20000 0 40000 20000 0 Defect volume (nm 3 ) Defect volume (nm 3 ) 19

Multilayer phase defect Height 2.5 nm Defect Map of Multilayered Blank Substrate width Multilayer A Wx:108nm, Wy:102nm, H:1.9nm D Wx:40nm, Wy:43nm, H: 1.8nm E 60 40 1.5 nmh, 60 nmw 20 Wx:19nm, Wy:20nm, H: 1.1nm Detection of Programmed defects 0 22nm 32nm C Rank 12 Defect signal intensity Rank 11 Rank 10 Rank 9 Rank 8 Rank 7 Rank 6 Rank 5 Rank 4 Rank 3 Rank 2 Rank 1 0 10 20 30 40 50 60 Frequency Critical for hp 32 nm Critical for hp 22 nm -20-40 -60-60 -40-20 0 20 40 60 Defects critical for hp 32 nm Defects critical for hp 22 nm Wx:28nm, Wy:16nm, H: 2.8nm 20

Resist 21

Change of resist chemistry by excitation energy Absorption cross section(10-18 cm 2 ) 120 100 80 60 40 20 Absorption spectra of simple organic molecule DUV 3 2 1 4 4 1 2 1 METHANE 2ETHANE 3 PROPANE 4BUTANE 0 0 10 20 30 40 50 60 70 80 90 PHOTON ENERGY(eV) DUV resist EUV resist Transmittance is a key factor for DUV lithography. ( 248 193 157 nm) Reaction occurs by electronic excitation ( Photochemistry) EUV All DUV and EB resists technologies can be used for EUV lithography Reaction occurs by ionization of molecules (radiation chemistry) 22

S. Tagawa et. al. SPIE 3999 (2000) 204 23

Pattern Collapse Improvement by New Developer Short-range LWR: 3σ(nm) at hp32nm 12.5 10.0 7.5 HO SSR3 SSR4+Process 5.0 SSR4 N + 2.5 0 SSR2 5 10 15 20 E size of hp32nm (mj/cm 2 ) Sensitivity - LWR TMAH 0.26N (Tetramethyl Ammonium Hydrooxide) - hp 26 nm hp 25 nm hp 24 nm Swelling Resolution limit (nm) 35 30 25 20 15 Pattern collapse SSR4 SSR3 SSR4+Process SSR2 New Developer TBAH 0.26N (Tetrabutyl Ammonium Hydrooxide) N + HO - 10 5 10 15 20 E size of hp32nm (mj/cm 2 ) Sensitivity - Resolution 24

Latest performance of EUV resist hp 28 nm hp 26 nm hp 24 nm hp 22 nm hp 21 nm hp 20 nm SSR4 Dose: 11.9mJ/cm 2 LWR: 7.2nm hp 19 nm SMR569 Dose: 16.0mJ/cm 2 LWR: 5.4nm SFET NA0.3 Illumination: X-slit 50nm Thick. Developer: TBAH 25

Molecular Resist(Fullaren derivatives) M100 Protecting group: bulky ester SMR567 based on M100 M100 bulky E/BBI nf 30wt%/TOA 3wt% Substrate: under layer F (UL F) 20nm Film thickness: 50nm SFET (x slit) PAB 110 /PEB 110 Dev.: TMAH 0.26N 30 sec SMR567 Dose(mJ/cm 2 ): LWR(nm): hp 26 nm 14 7.5 SMR601 Dose(mJ/cm 2 ): LWR(nm): hp 25 nm 16.5 9.1 SMR567: 保護基の最適化 SMR601: 保護比率の増加 Litho-pattern Resist(60nm t ) /UL(20nm t ) After Etching Resist(60nm t ) /UL(20nm t ) /Poly-Si(50nm t ) /SiO 2 (4nm t )/Si Cross sectiona view befere/after etching(smr567 567) hp 32 nm hp 28 nm hp 26 nm Normarized Etch-rate 1.2 1 0.8 0.6 0.4 0.2 0 Etcher: U 8150 (Hitachi) Condition :Cl 2, 0.4Pa, 500W 1.00 ArF resist (dry) 0.81 SMR377 (PHS-tBOC) 0.53 MET-2D 0.37 SMR567 (Fullerene) 26

Full Field Exposure 27

hp 28 nm 35 nm ホール Performance of EUV1 (1) CD accuracy In-field homogenuity Mean = 29.5 nm 3σ = 3.7 nm 16.7 mm 22.1 mm Mean = 37.0 nm 3σ = 3.3 nm Frequency Frequency 50 45 40 35 30 25 20 15 10 5 0 Overlay accuracy 50 45 40 35 30 25 20 15 10-15 -10-5 0 5 10 15 5 0 Via 1 to Metal 1 Overlay accuracy from V1 to M1 (nm) Metal 2 to Via 1-15 -10-5 0 5 10 15 Overlay accuracy from M2 to V1 (nm) X Y x y Mean 1.0 0.6 3σ 11.3 8.9 (nm) X Y x y Mean 1.1-1.9 3σ 9.8 9.9 (nm) 28

Performance of EUV1 (2) Resolution performance by dipole illumination 26mm σ=0.8 σ=0.4 SSR4: 45 nm thick Dose: 11 mj/cm 2 29

Yield improvement of hp 3X nm PL-TEG 100% 80% 43 nm hp 38 nm hp 35 nm hp 1 st RUN ( 09/1) tiny bridge SSR3 Yield Yield was was improved improved by by resist resist performance performance Yield [%] 60% 40% collapse 20% SMR73 0% 0.1 1 10 100 1000 10000 length [mm] 100% 2 nd RUN ( 09/6) SSR4 80% Yield [%] 60% 40% 43 nm hp 38 nm hp 35 nm hp 3 rd RUN ( 09/10) HP vs. Yield (V line 0.7 mm) 20% 100% 100% Yield [%] 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 55 1st Run 2nd Run 3rd Run 50 45 HP [nm] 40 35 30 0% 80% 0.1 1 10 100 1000 10000 length [mm] 60% Yield [%] 40% 43 nm hp 20% 38 nm hp 35 nm hp 0% 32 nm hp 0.1 1 10 100 1000 10000 length [mm] 30

Summary History of Japan EUVL development was reviewed. Source power is approaching 100 W level based on understanding of plasma physics under the collaboration with university and national laboratories. Wavefront error of optics is drastically improved by introduction of new metrology techniques in this decade, and become to satisfy the requirement to pre-production exposure tool. EUV1 shows resolution of 22 nm hp with dipole illumination. Mask infrastructure is now developing at Selete. Signal to noise ratio of actinic blank inspection tool (ABI) is improved. We applied ABI tool to whole-area bank inspection, and successfully demonstrated the sensitivity as small to 1.1nmH and 20nmW defect. DUV (199 nm)-based pattern inspection technique is applicable to hp 2X by using polarized illumination and low reflectance absorber material. Defect printability are studied using above infrastructures and calculation. Resist performance has improved steady based on the improvement of materials and processing technologies. We are now developing the α-stage EUVL processing, and will be transferred to β-stage processing in next phase and also manufacturing in company. We are now developing BEOL-TEG(hp35nm) process, and PL-TEG(hp3Xnm and hp2xnm) process. We learn the issues for high volume production. 31

Acknowledgement A part of technologies shown in this presentation are developed by the support of NEDO (New Energy and Industrial Technology Development Organization) under the management of METI (Ministry of Economy, Trade and Industry). The author thanks to all co-workers for assistance of presentation. 32