Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Similar documents
Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

InGaAs MOSFETs for CMOS:

InGaAs MOSFET Electronics

III-V CMOS: the key to sub-10 nm electronics?

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs

III-V CMOS: Quo Vadis?

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

Nanoscale III-V CMOS

InGaAs Nanoelectronics: from THz to CMOS

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

InAs Quantum-Well MOSFET for logic and microwave applications

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

EECS130 Integrated Circuit Devices

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2

In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth

III-V Channel Transistors

EECS130 Integrated Circuit Devices

Transistors for VLSI, for Wireless: A View Forwards Through Fog

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Single suspended InGaAs nanowire MOSFETs

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

FinFET Devices and Technologies

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Session 10: Solid State Physics MOSFET

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

SUPPLEMENTARY INFORMATION

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

MOS Capacitance and Introduction to MOSFETs

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program.

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

SEVERAL III-V materials, due to their high electron

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

InGaAs is a promising channel material candidate for

NAME: Last First Signature

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si

Ultra High-Speed InGaAs Nano-HEMTs

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Final Report. Contract Number Title of Research Principal Investigator

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Semiconductor Physics and Devices

General look back at MESFET processing. General principles of heterostructure use in FETs

Effective Channel Mobility of AlGaN/GaN-on-Si Recessed-MOS-HFETs

In principle, the high mobilities of InGaAs and

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

Innovation to Advance Moore s Law Requires Core Technology Revolution

International Workshop on Nitride Semiconductors (IWN 2016)

1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si

Tunneling Field Effect Transistors for Low Power ULSI

Research Title: High dielectrics on InGaAs and GaN Growth, interfacial structural studies, and surface Fermi level unpinning Date: April 18, 2011

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

FinFET-based Design for Robust Nanoscale SRAM

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Fundamentals of III-V Semiconductor MOSFETs

Normally-Off Operation of AlGaN/GaN Heterojunction Field-Effect Transistor with Clamping Diode

CMOS channels with higher carrier mobility than Si are

Indium Phosphide and Related Materials Selectively implanted subcollector DHBTs

Performance advancement of High-K dielectric MOSFET

Transistors for THz Systems

INTRODUCTION: Basic operating principle of a MOSFET:

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

Capacitive-Division Traveling-Wave Amplifier with 340 GHz Gain-Bandwidth Product

Investigations on Compound Semiconductor High Electron Mobility Transistor (HEMT)

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

A GaAs/AlGaAs/InGaAs PSEUDOMORPHIC HEMT STRUCTURE FOR HIGH SPEED DIGITAL CIRCUITS

Self-Aligned-Gate GaN-HEMTs with Heavily-Doped n + -GaN Ohmic Contacts to 2DEG

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Chapter 3 Basics Semiconductor Devices and Processing

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

Device architectures for the 5nm technology node and beyond Nadine Collaert

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline:

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Solid State Device Fundamentals

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

FET(Field Effect Transistor)

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor

Alternative Channel Materials for MOSFET Scaling Below 10nm

Reconfigurable Si-Nanowire Devices

High mobility 4H-SiC MOSFET using a combination of counter-doping and interface trap passivation

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

Transcription:

Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M. Law 1, V. Chobpattana 2, S. Krӓmer 2, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer 2, A. C. Gossard 2, and M. J. W. Rodwell 1 1 ECE and 2 Materials Departments University of California, Santa Barbara, CA 2013 Symposium on VLSI Technology Kyoto, Japan 06/13/2013 *sanghoon_lee@ece.ucsb.edu 1

Outline Motivation: Why III-V MOSFETs? Design Considerations Process Flow Key Process Developments - Damaged Surface removal - Interfacial trap Passivation Measurement Results - I-V Characteristics - Gate leakage & TLM measurement - Peak g m and R on VS L g (Benchmarking) Conclusion 2

Why III-V MOSFETs in VLSI? more transconductance per gate width more current (at a fixed V dd ) IC speed or reduced V dd (at a constant I on ) reduced power or reduced FET widths reduced IC size increased transconductance from: low mass high injection velocities lower density of states less scattering higher mobility in N+ regions lower access resistance Other advantages heterojunctions strong carrier confinement wide range of available materials epitaxial growth atomic layer control 3

Key Design Considerations Device structure: Scalability (sub 20 nm-l g,<30 nm contact pitch) : self-aligned S/D, very low ρ c Carrier supply: heavily doped N+ source region Shallow junction: regrown S/D or Trench-gate Channel Design: Thinner wavefunction depth: Thin channel More injection velocity: higher In-content channel Gate Dielectric: Thinner EOT : scaled high-k dielectric Low D it : surface passivation, minimized process damage 4

Process Flow 5 nm n+ InGaAs (Capping layer) 3/5/3 nm In 0.53 GaAs/InAs/In 0.53 GaAs (Channel) 3 nm 3.9e12/cm 2 Pulse doping - Epitaxial layer growth using MBE HSQ 5 nm n+ InGaAs (Capping layer) 3/5/3 nm Composite Channel - Dummy gate definition using e-beam lithography HSQ N+ InGaAs (Regrown S/D) 5 nm n+ InGaAs (Capping layer) 3/5/3 nm Composite channel - N+ InGaAs S/D regrowth using MOCVD N+ InGaAs (Regrown S/D) Capping layer 3.6 nm HfO 2 Ni/Au N+ InGaAs (Regrown S/D) Capping layer Ti/Pd/ Au Ni/Au S/D metal N+ InGaAs (Regrown S/D) Capping layer 5/3 nm InAs/InGaAs channel 5/3 nm InAs/InGaAs channel 5/3 nm InAs/InGaAs channel - Dummy gate removal - Capping layer digital etching - High-k deposition - Post Deposition Annealing - Gate metal deposition 5 - S/D metal deposition

Capacitance ( F/cm 2 ) Evidence of Surface Damage During Regrowth Long-channel FETs: consistently show >100 mv/dec. subthreshold swing Indicates high D it despite good MOSCAP data. Suggests process damage. Experiment: SiO 2 capping + high temp anneal + strip MOSCAP Process Finding: large degradation in MOSCAP dispersion. Confirms process damage hypothesis. 1.2 Control SiO 2 Capped, 500 o C anneal 1 0.6 1 KHz 10 KHz 100 KHz 1 MHz Large dispersion Large D it 0.2 0-2 -1 0 1 2 Voltage (V) -2-1 0 1 2 6 Voltage (V)

Post-Regrowth Surface Digital Etching for Damage Removal HSQ N+ InGaAs (Regrown S/D) 5 nm n+ InGaAs (Capping layer) 3/5/3 nm Composite channel Damaged surface N+ InGaAs (Regrown S/D) Capping layer 5/3 nm InAs/InGaAs channel - Surface removed by digital etch process # cycles: 15 UV ozone (surface oxidation) 1 dilute HCl (native oxide removal) Ti/Pd/ Au 13-15 Ȧ/cycle, ~0.16 nm RMS roughness Ni/Au S/D metal - Etch significantly N+ InGaAs improves (Regrown S/D) swing and transconductance Capping layer - Using this 5/3 nm technique, InAs/InGaAs channel the upper cladding of the composite channel is removed 7

D it Passivation : In-situ N 2 plasma and TMA pretreatment False inversion - Cyclic H 2 plasma and TMA treatment D it passivated (A. Carter et al., APEX 2012) H 2 +TMA+H 2 N 2 +TMA+N 2 - Lower Midgap D it for N 2 plasma pretreatment - Al 2 O 3 interfacial layer is not needed (V. Chobpattana, et al. APL 2013) 8

Cross-sectional STEM image Ni 3.6 nm HfO 2 60 nm 5 nm InAs L g ~40 nm 3 nm In 0.53 GaAs In 0.52 AlAs 8 nm channel (5 nm/3 nm InAs/In 0.53 GaAs) ; The InAs channel is not relaxed ~ 3.5 nm HfO 2 and ~0.5 nm interfacial layer formed by cyclic N 2 and TMA treatment 9

Current Density (ma/ m) Current Density (ma/ m) Gm (ms/ m) Gm (ms/ m) Current Density (ma/ m) Current Density (ma/ m) Current Density (ma/ m) Current Density (ma/ m) I-V characteristics for short and long channel devices W = 10.1 μm, L = 40 nm / 70 nm / 90 nm 1.8 1.6 1.4 1.2 1.0 0.6 0.2 0.0 40 nm 70 nm 90 nm -0.2 0.0 0.2 0.6 Gate Bias (V) = 0.5 V 2.8 2.4 2.0 1.6 1.2 0.0 W = 10.1 μm, L = 510 nm 2.4 2.0 1.6 1.2 0.0 =0.05 V =0.5 V -0.2 0.0 0.2 0.6 Gate Bias (V) 2.4 2.0 1.6 1.2 0.0 10 1 =0.5 V 10 0 10-1 10-2 10-3 10-4 10-5 -0.2 0.0 0.2 0.6 Gate Bias (V) 10 1 =0.05 V 10 0 10-1 10-2 10-3 10-4 10-5 10-6 =0.5 V ~2.45 ms/μm Peak Gm at =0.5 V, 93 mv/dec long-channel SS 10 =0.05 V 40 nm 70 nm 90 nm SS ~ 93 mv/dec at =0.05 V -0.2 0.0 0.2 0.6 Gate Bias (V) 2.2 2.0 V GS = - V to 1.4 V 1.8 0.2 V increment 1.6 1.4 R on = 214 Ohm- m 1.2 1.0 0.6 0.2 0.0 0.0 0.1 0.2 0.3 0.5 0.7 0.6 0.5 0.3 0.2 0.1 Drain Bias (V) V GS = - V to 1.4 V 0.2 V increment R on = 495 Ohm- m 0.0 0.0 0.1 0.2 0.3 0.5 Drain Bias (V)

Resistance (Ohm- m) Gate leakage (A/cm 2 ) Gate leakage, access resistance, g m uniformity 10-1 800 10-2 700 600 500 400 300 Ti/Pd/Au Gap 60 nm n++ InGaAs (regrown contact layer) 5 nm n++ InGaAs (Capping layer) Y = 21.8 + 25.1X ~1.2 μm 10-3 10-4 10-5 10-6 10-7 =0.5 V =0.05 V -0.2 0.0 0.2 0.6 Gate Bias (V) = 200 100 Ti/Pd/Au 50 nm n++ InGaAs (regrown) 5 nm n++ InGaAs 0 0 5 10 15 20 25 Gap ( m) 3/4/3 nm In 0.53 GaAs/InAs/In 0.53 GaAs 400 nm In 0.52 AlAs (UID) R sheet = 25 ohm/sq ρ c = ~4.7 ohm-μm 2 ; ~82 Ohm-μm R SD : ~8% degradation gate leakage <10-4 A/cm 2 at all bias conditions 11 Gate metal S.I. InP 3 nm 3.9e12/cm 2 Pulse doping

G m_max (ms/ m) R on (Ohm- m) Peak g m and R on vs. L g (Benchmarking) 2.8 2.4 D.-H. Kim 2011 IEDM (HEMT) at V ds =0.5V 800 2.0 1.6 J.J. Gu 2012 IEDM D.-H. Kim 2012 IEDM T.-W. Kim 2012 VLSI Intel 2009 IEDM This work 600 400 D.-H. Kim 2012 IEDM Intel 2009 IEDM T.-W Kim 2012 IEDM This work 1.2 M. Egard 2011 IEDM Y. Yonai 2011 IEDM D.-H. Kim 2012 IEDM ( =1V) 200 M. Egard 2011 IEDM Y. Yonai 2011 IEDM 0.1 1 Gate length ( m) 0.01 0.1 1 Gate length ( m) Record G m over all the gate lengths Very Low R on when considering not fully self-aligned S/D contact 12

Conclusion Using digital etching, damaged surface during S/D regrowth can be effectively removed and the channel thinned in a nanometer precision without etch-stop. Employing N 2 plasma and TMA in-situ treatment, thin HfO 2 (3.5 nm) gate dielectric can be incorporated with low D it. Peak g m = 2.45 ms/μm at V ds =0.5 V for a 40 nm-l g device Regrown S/D provides very low access resistance (~ 200 ohm-μm) even with non-self aligned S/D metal contact. 13

Acknowledgment Thanks for your attention! Questions? This research was supported by the SRC Non-classical CMOS Research Center (Task 1437.006). A portion of this work was done in the UCSB nanofabrication facility, part of NSF funded NNIN network and MRL Central Facilities supported by the MRSEC Program of the NSF under award No. MR05-20415. *sanghoon_lee@ece.ucsb.edu 14