Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Similar documents
Decoupling Capacitance Allocation for Power Supply Noise Suppression

Website: vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Power Distribution 1

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

Microcircuit Electrical Issues

Effect of Aging on Power Integrity of Digital Integrated Circuits

Interconnect-Power Dissipation in a Microprocessor

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Power Supply Noise Aware Floorplanning and Decoupling Capacitance Placement Λ

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

Decoupling Capacitance

EMI Reduction on an Automotive Microcontroller

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths

Fast Placement Optimization of Power Supply Pads

UNIT-III POWER ESTIMATION AND ANALYSIS

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

On-Chip Inductance Modeling

Gate Delay Estimation in STA under Dynamic Power Supply Noise

EE141-Spring 2007 Digital Integrated Circuits

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

Pulse propagation for the detection of small delay defects

EE141- Spring 2004 Digital Integrated Circuits

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

Leakage Power Minimization in Deep-Submicron CMOS circuits

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

ECEN720: High-Speed Links Circuits and Systems Spring 2017

Impact of Low-Impedance Substrate on Power Supply Integrity

A Simulation Study of Simultaneous Switching Noise

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

High-speed Serial Interface

Estimation of Inductive and Resistive Switching Noise on Power Supply Network in Deep Sub-micron CMOS Circuits *

Signal Integrity Design of TSV-Based 3D IC

Digital Systems Power, Speed and Packages II CMPE 650

Engineering the Power Delivery Network

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 546 Lecture 20 Power Distribution Networks

CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT

Ripple analyze and design considerations for an interleaved boost converter (IBC) for a PV source

Efficient Early Stage Resonance Estimation Techniques for C4 Package *

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CHAPTER 3 NEW SLEEPY- PASS GATE

1 Digital EE141 Integrated Circuits 2nd Introduction

POWER GATING. Power-gating parameters

PDS Impact for DDR Low Cost Design

Design of the Power Delivery System for Next Generation Gigahertz Packages

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Lecture 17. Low Power Circuits and Power Delivery

Lecture 10. Circuit Pitfalls

Design and Analysis of Power Distribution Networks in PowerPC Microprocessors

Lecture 07 Modeling and Optimization of VLSI Interconnects (ECG 415/615 Introduction to VLSI System Design)

Lecture 9: Cell Design Issues

induced Aging g Co-optimization for Digital ICs

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

ELEC Digital Logic Circuits Fall 2015 Delay and Power

Low Noise Amplifier Design

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing

Understanding and Minimizing Ground Bounce

PROGRAMMABLE ASIC INTERCONNECT

Lecture 13: Interconnects in CMOS Technology

Measurement Results for a High Throughput MCM

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Experiment 2: Transients and Oscillations in RLC Circuits

Electronic Circuits EE359A

EECS 141: SPRING 98 FINAL

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection.

Power Distribution Paths in 3-D ICs

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Development and Validation of IC Models for EMC

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

Efficient Decoupling Capacitor Planning via Convex Programming Methods

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

VLSI Design I; A. Milenkovic 1

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

On-Chip Inductance Modeling and Analysis

Active Decap Design Considerations for Optimal Supply Noise Reduction

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

電子電路. Memory and Advanced Digital Circuits

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University

Advanced Digital Design

On the Interaction of Power Distribution Network with Substrate

Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Transcription:

Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower supply voltage slows the circuit down Lower supply voltage can inhibit switching and loss of state Voltage fluctuation causes noise injection in the circuit L R X C VDD GND Circuit Switching 1

Logic Failure due to R drop V DD φ pre R V DD - V X V V R Trends Process shrin: increased current density Lower supply voltage: decreased voltage margin ncreased frequency: rate of change of current increases ncreased complexity: large die size increases the routing length of power supply New pacaging methods: new bonding mathods (flip-chip bump) improves the drops 2

ssues in PSN Analysis On-chip resistance (R) and inductance (L) for P/G networ Worst case noise does not correspond to average current, or pea current Small things add up Each gate draws a small current pulse when switching Switching events and their spatio-temporal correlation Find the simulation trace that creates a switching pattern in the design resulting in the worst case voltage drop at the specific location in the grid Conservative: Approach must err on the side of predicting too much voltage drop Design Planning Chip planning will occur before a definite floorplan Current is estimated based on chip area Assume a equal distribution of power sources and power grid 3

Early Analysis nitial floorplan and global power grid are complete Global power grid is extracted with R s, L s, and C s Each bloc is modeled as a single current source based on an estimated DC-value or on the gate level implementation Late Analysis Both global and local power grids are extracted Current sources are modeled at the transistor or gate level 4

Simulation Method Decouple simulation of interconnect from the circuit Characterize the switching current of a gate/transistor Sampling frequency allows for run-time/accuracy trade-off Use a switch-level or gate-level simulator to generate switching events teration allows for reduced conservatism ssues of Simulation Method Strengths: Accuracy of model Simple integration with existing tools Weanesses: Simulation speed is not adequate for full chip microprocessor designs Confidence of covering the worst case event with a test vector is not nown Large test vectors are needed, resulting in long run times 5

Static Approach Model the current for a bloc/gate for a single cloc cycle Use timing windows from timing analysis to model gate switching Apply gate switching current for the entire duration of the window Sum current of each gate to obtain a bloc current for early analysis mproved Window Generation 6

ssues in Static Analysis Strengths: Very short run times Conservative formulation Weanesses: Topological correlation between switching is lost Switching current is applied over the entire window Statistical Approach Based on a user specified confidence level, calculate the worst case current as a function of time, using: Switching intervals of the nodes in the circuit Switching probabilities of each node Gate current characterizations 7

Problem Formulation Gate-level circuit implementation & P/G topology? Estimation of the worst case P/G noise Technology library containing standard cells implementation Find out worst case input pattern that triggers worst case P/G noise Proposed Methodology Cells Precharacterization Spatio-temporal nformation of Switching events Delay & Switching Current Waveform Event-driven Simulator MC, GA nput Vector Generation Noise Sampling & Noise Waveform Update so-far worst case Noise & input vector Worst case Noise 8

nput Vector Generation Monte Carlo is used to generate input vectors according to prescribed signal probability and activity. A set of so-far worst case input vectors is selected to form an initial gene pool Genetic algorithm is employed to generate the new generations of input vectors Worst case noise & corresponding input vectors are the goals Pre-characterization of Standard Cells Technology and design parameters available Standard cells are pre-characterized with SPCE to obtain drive capability and delay information A delay loo-up table is used for timing analysis Current waveforms are approximated as trapezoids based on the delay and drive capability of switching gates 9

Delay Model--Looup Table A delay looup table is tabulated for each standard gate based on SPCE simulation data Delay depends on capacitive load and input slope Linear interpolation is used if necessary nput slope Capacitive load Delay Output slope τs CL td τo (ps) (ff) (ps) (ps) 40 20 45 58 60 80 198 250............ Approximate Switching Current Waveforms with Trapezoid 10

Switching Current Waveforms & Timing nformation Switching Event Queue (Event-driven Simulator) Determine Delay & Switching current waveform Switching event D Cloc Cycle ( T ) Modeling P/G Networ P/G networ is modeled as pseudodistributed RLC networ (of tree topology) 11

Noise Calculation P = P P Zd( 3) Z d (3) d(3) VDD i3(t) R1 L1 C1 i1(t) R2 L2 C2 Z i2(t) di1 di3 di2 Vdd V Z = [ ir 1 1+ L1 ] + [ i3r 1+ L1 ] + [( R1 + R2 ) i2 + ( L1 + L2 ) ] dt dt dt Noise Feedbac & Data Postprocessing Noise bounce on P/G reduces the effective power supply, therefore, lowers the drive current and prevents the noise bounce from going worse Estimated data need to post-processed Assume triode region operation, noise feedbac is given as follows: V V ( 1 est noise act noise δ = ) = 2 δ β β V V dd = dd δ 12

Experimental Results Circuit P s No. Gate No. Pea Noise (Near End) Pea Noise (Far End) CPU Time (per input pattern) (mv) (mv) (s) C17 5 6 35.4 39.4 0.0007 C432 36 160 372.8 394.7 0.0314 C499 41 202 573.5 780.0 0.0412 C880 60 357 612.2 698.3 0.0473 C1355 41 514 575.3 785.7 0.0779 C1908 33 880 568.3 739.6 0.1056 C2670 233 1161 701.9 814.7 0.0954 C3540 50 1667 716.0 774.7 0.3476 C5315 178 2290 1050.3 1102.0 0.4038 C6288 32 2416 676.4 1059.7 3.9042 C7552 207 3466 1079.6 1122.8 0.6397 Experimental Results 13

Experimental Results Experimental Results (compared with SPCE) 14

Voltage Drop Correction Given a floorplan with switching activities information available for each module: Determine how much decap is required by each module to eep the supply noise below a specified upper limit Allocate white-space to each module to meet its decap budget Related issue Determine worst case power supply noise for each module in the floorplan Allocate the existing white space in the floorplan Power Supply Networ RLC Mesh :Current Source : VDD pin Lp VDD Rp VDD VDD VDD 15

Current Distribution in Power Supply Mesh :Connection point, VDD (1) :VDD pin Current contribution (3) Current flowing path (5) VDD (2) (6) Module A B C Current Distribution in Power Supply Networ Distribute switching current for each module in the power supply mesh Observation: Currents tend to flow along the leastimpedance paths Approximation: Consider only those paths with minimal impedance --shortest, second shortest, Z 1 j 1 + 1 = = 2 + Z 2 Y j n Y i i = 1 L 2, + = n L = = j Z = n n 1, 2, K n 16

Decoupling Capacitance Budget Decap budget for each module can be determined based on its noise level nitial budget can be estimated as follows: Charge : Noise Decap : C Q ratio : ( ) ( ) τ ( ) = 0 ( t) dt θ = max(1, 1 = (1 ) Q θ ( ) V V / ( ) noise (lim) V noise ) (lim) noise, = 1,2,LM terations are performed if necessary until noise at each module in the floorplan is ept under certain limit Allocation of Decoupling Capacitance Decap needs to be placed in the vicinity of each target module Decap requires WS to manufacture on Use MOS capacitors Decap allocation is reduced to WS allocation Two-phase approach: Allocate the existing WS in the floorplan nsert additional WS into the floorplan if required 17

Allocation of Existing White Space A w2 WS B D w1 C E w3 Allocation of Existing WS-- Linear Programming (LP) Approach Objective: Maximize the utilization of available WS Existing WS can be allocated to neighboring modules using LP Notation: S : S : S ( j) x ( j) : : ws sum area of decap of allocated N : neighbors set allocated WS budget to of of mod WS WS j mod j from LP Approach: maximize WS st.. j N = H = 1 x ( j) S = x x ( j) ( j) 0, H = 1 j N S S, ( j), x ( j), = 1,2, L, H j, j= 1,2, L, M 18

nsert Additional WS into Floorplan f Necessary Update decap budget for each module after existing WS has been allocated f additional WS if required, insert WS into floorplan by extending it horizontally and vertically Two-phase procedure: insert WS band between rows based the decap budgets of the modules in the row insert WS band between columns based on the decap budgets of the modules in the column Moving Modules to nsert WS Original floorplan 0 A 1 1 2 C 2 B D ExtY Moving modules in y+ direction A C B D WS band E 3 3 4 G F E F G (a) (b) 19

Experimental Results Comparison of Decap Budgets (Ours vs Conventional Solution ) Circuit decap budget (nf) (our method) decap budget (nf) ( greedy solution ) Percentage (%) apte 27.73 32.64 85.04 xerox 8.00 13.50 59.30 hp 3.45 6.18 55.80 ami33 0 0.80 0.00 ami49 10.28 24.80 41.50 playout 42.91 61.67 69.6 Experimental Results for MCNC Benchmar Circuits Circuit Modules Existing WS (µm 2 ) (%) apte 9 751652 (1.6) xerox 10 1071740 (5.5) hp 11 695016 (7.8) ami33 33 244728 (21.3) ami49 49 2484496 (7.0) playout 62 5837072 (6.6) decap Budget (nf) nacc. WS (µm 2 ) (%) Added WS (µm 2 ) (%) 27.73 0 (0) 4794329 (10.3) 8.00 0 (0) 528892 (2.7) 3.45 306076 (3.5) 300824 (3.4) Est. Pea Noise (V) before Est. Pea Noise (V) after 1.95 0.24 0.94 0.20 1.09 0.23 0 N/A 0 0.16 0.16 10.28 891672 (2.5) 42.91 792110 (0.9) 463615 (1.3) 3537392 (4.0) 1.45 0.25 1.23 0.24 20

Floorplan of playout Before/After WS nsertion 21