Nikon EUVL Development Progress Update

Similar documents
EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Update on 193nm immersion exposure tool

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

EUV lithography: today and tomorrow

EUVL getting ready for volume introduction

EUV lithography: status, future requirements and challenges

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

R&D Status and Key Technical and Implementation Challenges for EUV HVM

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Laser Produced Plasma Light Source for HVM-EUVL

EUVL Activities in China

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Scope and Limit of Lithography to the End of Moore s Law

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

EUV Light Source The Path to HVM Scalability in Practice

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

EUV Actinic Blank Inspection Tool Development

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Progress in full field EUV lithography program at IMEC

EUV Lithography Transition from Research to Commercialization

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

From ArF Immersion to EUV Lithography

High-NA EUV lithography enabling Moore s law in the next decade

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

2009 International Workshop on EUV Lithography

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Advanced Patterning Techniques for 22nm HP and beyond

Scaling of Semiconductor Integrated Circuits and EUV Lithography

EUV Interference Lithography in NewSUBARU

Progress & actual performance of the Selete EUV1

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Optics for EUV Lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Imaging for the next decade

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

EUV Supporting Moore s Law

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Major Fabrication Steps in MOS Process Flow

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Challenges of EUV masks and preliminary evaluation

Mask Technology Development in Extreme-Ultraviolet Lithography

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

Introduction of ADVANTEST EB Lithography System

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

S26 Basic research on 6.x nm EUV generation by laser produced plasma

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Nikon F2 Exposure Tool

LPP EUV Source Development and HVM I Productization

Improving efficiency of CO 2

DSA and 193 immersion lithography

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

National Projects on Semiconductor in NEDO

Progress of Optical Design for EUV Lithography Tools in BIT

Status and challenges of EUV Lithography

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Optical Microlithography XXVIII

New CD-SEM System for 100-nm Node Process

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Light Sources for High Volume Metrology and Inspection Applications

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lithography on the Edge

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Recent Development Activities on EUVL at ASET

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

EUVL Exposure Tools for HVM: It s Under (and About) Control

Transcription:

Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1

Presentation Outline 1. Nikon EUV roadmap 2. Current status of EUV1 and latest data 3. Update progress in various areas 4. EUVL tool development challenges 5. Future projection lenses 6. Future tool realization 7. Development summary EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 2

Technology Options k1 Factor Path is clear to ArF (193 nm) EUV 40-45 45 nm ArF ArFi HI ArFi (13.5 nm) ITRS Year Half Pitch 0.92 1.07 1.3 1.35 1.55 1.7 0.25 0.35 2007 65nm 0.31 Timing issues for 55nm 0.3 high-index index immersion 2010 45nm 0.21 0.3 0.31 40nm 0.27 0.28 0.32 2013 32nm 0.15 0.22 0.22 0.26 0.28 0.59 2016 22nm 0.15 0.15 0.18 0.19 0.41 0.57 2019 16nm 0.3 0.41 DP for 32 nm, 22nm? High-index index DP for 22 nm? EUV for late 32 nm and 22 nm beyond EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 3

EUVL Development Roadmap Cal. Year 2007 2008 2009 2010 2011 2012 2013 ITRS2007 DRAM ½ p Flash ½ p MPU C. Hole R&D programs Collaboration 65 nm 54 nm 84 nm EUVA (Tool) 57 nm 45 nm 73 nm 50 nm 40 nm 64 nm EUVA (Light source) 45 nm 36 nm 56 nm SELETE (EUV Lithography and Mask Program) 40 nm 32 nm 50 nm 36 nm 28 nm 44 nm 32 nm 25 nm 39 nm Nikon Exposure tool EUV1 NA 0.25 Early Process development Improvement EUV2 NA 0.25 -Process development -Production tool verification Verification HVM for 32nm hp beyond EUV3 NA>0.3 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 4

Performance Expectation Specification Item EUV1 EUV2 (2010) EUV3 (2012) Field Size 26 x 33 mm 2 26 x 33 mm 2 26 x 33 mm 2 NA and Magnification 0.25, x1/4 0.25, x1/4 >0.3, x1/4 Flare 10 % 7 % 5 % Overlay 10 nm 5 nm <3 nm Throughput 5-10 wph (10W IF, 5mJ/cm 2 ) 76 shots 20 wph (50W IF, 10mJ/cm 2 ) 76 shots 100 wph (115W IF, 5mJ/cm 2 180W IF, 10mJ/cm 2 ) 76 shots EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 5

EUV1 Tool Development Status LDR Module Module/System Integration System Operation We are here Body EUV Reticle Stage Wafer Stage PO Field Size 26 x 33 mm 2 NA and Magnification 0.25, x1/4 Sigma 0.8 Overlay Source 10 nm Static exposures started. 28 nm L/S & CH capability. Dynamic exposure started. Optimization underway. EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 6

EUV1 PO Wavefront Map Extremely small WFE achieved 22 26 mm 26 mm WFE 0.4 nm RMS (average) Min. 0.3 nm RMS ~ Max. 0.5 nm RMS EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 7

Static Exposure Results Through Full Ring-Field 32 nm hp Elbow pattern By courtesy of Selete Shown at Selete Symposium D E F G H C I B J A K 32 nm hp V-line C D E F G H I B 28 nm hp 27 nm hp 26 nm hp J A K EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 8

First Dynamic Exposure Result 26x33mm field By courtesy of Selete 26x33mm field 0.6mJ/cm2 32nm L/S 10mJ/cm2 Refer to Lithographic performance of Selete s full field EUV exposure tool by K.Tawarayama, et.al, on October 1. EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 9

Presentation Outline 1. Nikon EUV roadmap 2. Current status of EUV1 and latest data 3. Update progress in various areas 4. EUVL tool development challenges 5. Future projection lenses 6. Future tool expectation 7. Development summary EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 10

EUV Light Source Status Based on Source WS, May 2008 Cymer Gigaphoton (EUVA) Philips/Xtreme/Ushio Type LPP LPP DPP Sn, Droplet Sn, Droplet Sn, Rotating disc Rep. rate 50 khz 100 khz 5 khz Demonstrated feasibility of 100 khz Drive Laser Power 12 kw CO 2 laser 13 kw CO 2 laser - EUV Power * 100W @IFP Burst ~35W@IF average (>10min., Duty~ 40%) ~25W @IF for 1.5 hrs operation (Duty 32%) 60 W @IFP (Sn plate) 170W@plasma 8W@IFP 100% duty Demonstrated feasibility of 3.5 kw @plasma Plasma size 210μm (1/e^2) (~100 um) < 1.3 mm Collector mirror MLM MLM Grazing * Estimated IF power based on a transmissibility of a collector mirror. Performance of integrated plasma source, collector and DMT modules must be demonstrated. EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 11

EUV Reticle Protection - Dual Pod Concept by Canon and Nikon - 1. Reticle in Cassette (RC) in Carrier (RSP200). 2. Cassette protects the reticle in load locks. 3. Top cover stays with reticle during in-tool handling. 4. Reticle remains in RC in library to protect against vacuum accidents and contamination. Top Cover Reticle Bottom Cover Cassette (Reticle Cover) RSP EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 12

Reticle Protection with CNE Dual Pod 1. CNE (Canon/Nikon/Entegris) Dual Pod has been evaluated (1) : Particle adders reported less than 0.01 particles / cycle. 2. 1 st Yellow Ballot s vote in Q1 08 was completed unsuccessfully (rejected). Resubmit 2 nd Yellow ballot for the cycle five voting (started 27 th Aug. ). 3. The revised yellow ballot clearly specified carrier dedication for exposure tools and general purpose carrier for all other uses. (1) Particle-Free Mask Handling Techniques and a Dual-Pod Carrier, Mitsuaki Amemiya, Selete(Japan), et al. Proceedings of SPIE advanced lithography 2008, emerging lithography[6921-142] EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 13

Contamination Control Strategy 1. Long-life anti-oxidation capping layer 2. Carbon-film suppression and removal using EUV+O 2 in-situ cleaning -Oxygen gas introduction under EUV irradiation can suppress carbon deposition onto mirrors. 3. Experimentation facilities - New SUBARU in Himeji (Univ. of Hyogo) and new SLS facility in Kyushu now available. EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 14

Long-life Anti-oxidation Capping Layer Normalized Reflectivity Dose [J/mm 2 ] Some of our candidates show significant high anti-oxidation capabilities. EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 15

Carbon Film Suppression Relative Reflectance Change [] 1.01 1.00 0.99 0.98 Reflectance History O2: none O2: Low P O2: Mid P O2: High P Hexadecane: 4E 6 Pa 0 20 40 60 80 100 Accumurated Dose [J/mm 2 ] Accumulated Dose [J/mm 2 ] Oxygen gas introduction under EUV irradiation can suppress carbon deposition onto mirrors. EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 16

New EUV Irradiation Facility Saga Light Source Dec. 2007: - NTT Super ALIS operation ended. Jan - July 2008: - Remove experimental set up at NTT - Installation completed at SLS August 2008: - Operation started at SLS - Dedicated beam line to Nikon s contamination R&D Moved from NTT New production EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 17

Presentation Outline 1. Nikon EUV roadmap 2. Current status of EUV1 and latest data 3. Update progress in various areas 4. EUVL tool development challenges 5. Future projection lenses 6. Future tool realization 7. Development summary EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 18

EUVL Tool Development Challenges Imaging Performance Low aberration, low flare optics RET and uniformity control Overlay Thermal stability Thermal distortion Reticle Defect-free Particle-free Mask Stage Condenser Optics Source λ:13.5nm Xe Nozzle YAG Laser λ:1064nm Wafer Alignment Sensor EUV Lithography Projection Optics Wafer Stage Thermal Management Heat rejection of optics Throughput Higher optical chain transmittance Higher EUV source power Higher resist sensitivity CoO Improvement Vacuum quality and Optics lifetime Maintenance downtime EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 19

Projection Optics Technology PO technology improvement ongoing Supported by NEDO Supported by NEDO Supported by NEDO Supported by NEDO Mirror fabrication tools Optics evaluation tools EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 20

Wavefront Error Improvement First Prototype EUV1 PO Sample A EUV1 PO Sample B EUV1 PO Sample C WFE (nmrms) 4.0 10.0 3.0 2.0 1.0 0.0 2006 2H 2007 1H 2007 2H 2008 1H WFE (nm RMS) (Average) Sample A 2.2 Sample B 0.6 Sample C 0.4 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 21

Calculation of Kirk flare Kirk flare [%] 20% 18% 16% 14% 12% 10% 8% 6% 4% 2% 0% 0.1 1 10 radius (flare range) [um] Flare Calculation Measured Calculated Kirk flare agreed with measurement. Sample B PO 10% 15% Sample C PO 6% 8% Kirk flare Kirk flare I I D B ( x d, y ( x, y b b Intensity d ) = ) = KF = TIS = PSF SC ( 1 TIS ) + PSF ( x x, y y ) dxdy EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 22 I B I I D min B max SC0 I D PSF bright field bright field SC ( x, y) dxdy ( x x TIS (Total Integrated Scatter) Flare F Kirk flare Flare SC ( x y ) = PSF ( x x, y d, y y 0 0 0 y 0 ) bright field b d ) dxdy, dxdy Refer to Improvement of Optics for EUV Exposure Tool by K.Murakami, et.al, on October 1. b

Presentation Outline 1. Nikon EUV roadmap 2. Current status of EUV1 and latest data 3. Update progress in various areas 4. EUVL tool development challenges 5. Future projection lenses 6. Future tool realization 7. Development summary EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 23

NA 0.25 Imaging Simulation Process Window Vs. Illumination Condition 22 nm L/S 25 nm H/S Conv. 0.8 Ann. 0.4/0.8 Dipole 0.6/0.2 Conv. 0.8 Ann. 0.4/0.8 Quad 0.6/0.2 DOF (nm) 1000 800 600 400 200 0 0 2 4 6 8 10 DOF (nm) 350 300 250 200 150 100 50 0 0 2 4 6 8 10 Dose Error (+/-%) Dose Error (+/-%) ED-Tree DOF Conditions: Lambda: 13.5 nm, NA: 0.25, CD error: +/-10% of CD, Mask CD error: +/-0.5 nm, Mask contrast: 1:100, Flare: 7%*pattern density EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 24

High NA Imaging Simulation DOF(22nm hp), Conv. DOF(22nm hp), Dipole DOF(16nm hp), Conv. DOF(16nm hp), Dipole Simulation conditions: Aerial image simulation; Dipole (R=0.2), delta CD +/-10% of CD, Mask CD error +/-3% of CD Mask contrast 1:100, Flare 8% EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 25

High NA Imaging Simulation Summary DOF >200nm Contrast > 0.5 DOF (nm) EL=+/-2% Contrast NA 0.25 0.3 0.35 0.4 0.25 0.3 0.35 0.4 Sigma Conv Conv Conv Conv Dipole Dipole Dipole Dipole 16nm hp 0 0 49.9 95.5 0 246.5 299.8 257 DOF >200nm Contrast > 0.5 22nm hp 0 183.5 168.3 140.6 579.8 484.1 414.6 374.1 16nm hp 0.039 0.263 0.465 0.605 0.201 0.764 0.764 0.764 22nm hp 0.44 0.638 0.746 0.803 0.762 0.762 0.762 0.769 1. 22 nm hp 0.25 NA + Dipole illumination or >0.3 NA + Conventional illumination 2. 16nm hp >0.3 NA + off-axis illumination EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 26

High NA EUV Projection Optics CD=K 1 λ/na DOF=λ/NA 2 Design examples HP 45nm 32nm 22nm NA0.25 0.83 0.59 0.41 NA0.30 0.71 0.49 NA0.35 0.83 0.57 NA0.40 0.65 NA0.45 0.73 NA0.50 0.81 K1 DOF(nm) 16nm 0.30 0.36 0.41 0.47 0.53 0.59 216 150 110 84 67 54 M7 M8 General issues: 1. DOF reduction 2. Flare increase 3. Transmittance 4. Obscuration 5. Manufacture engineering 6 mirror system 8 mirror system 8 mirror system (center obscuration) NA 0.30 0.40 0.50 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 27

Throughput Improvement Current Optical Chain and source power IF Reticle Light Source IU PO Wafer SPF 1. Source power improvement 2. optical chain transmittance improvement HVM Concept Reticle Wafer Light Source IF IU (+SPF) PO EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 28

Efficient RET Illumination 1. Conventional Fly s eye mirror with pupil aperture Pupil aperture Reticle Source Fly s eye 1 Fly s eye 2 (Pupil plane) 2. Efficient RET Fly s eye mirror Reticle Intensity distribution in pupil + Easy to make - Power loss - Degrade uniformity on reticle Source Fly s eye 1 RET Fly s eye Fly s eye 2 (Pupil plane) + No power loss + No change uniformity on reticle - Difficult to make Fly s eye mirrors Refer to Improvement of Optics for EUV Exposure Tool by K.Murakami, et.al, on October 1. EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 29

Throughput Study Total Number of Mirrors vs. Throughput 70 60 20wph 120 100 100wph EUV power at IF (W) 50 40 30 20 15mJ/cm2 10mJ/cm2 5mJ/cm2 EUV power at IF (W) 80 60 40 15mJ/cm2 10mJ/cm2 5mJ/cm2 10 20 0 8 9 10 11 12 13 14 # of Mirror 0 8 9 10 11 12 13 14 # of Mirror EUV power at IF (W) 120 100 80 60 40 20 0 8 9 10 11 12 13 14 # of Mirror 50wph 15mJ/cm2 10mJ/cm2 5mJ/cm2 Condition; Mirror reflectance: 64% Shot number: 76 shots Key issues Source power improvement Optical transmittance improvement EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 30

EUVL HVM Tool Realization Optics improvement - WFE, flare, RET, distortion Throughput improvement - Optical chain transmittance - Light source IF power - Stepping and overhead time Overlay improvement - Thermal stability, heat rejection and cooling EUVL facility issues - New raised or recessed floor arrangement - Light source utility and space CoO issue - Consumable cost and lifetime EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 31

EUVL Production Tool Realization Tool consumables Optics Capping layer In-situ cleaning Refurbishment Vacuum quality Consumable Cost Reduction DPP Source consumables Collector DMT Cleaning Coating LPP EUV Source WS May, 2008 EUV Source WS May, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 32

Summary EUVL technology targeted for 22 nm hp and beyond Current Nikon EUVL program Full field exposure tool (EUV1) integrated and starting Scan Exposure Early process development for 32 nm hp node World class projection optics performance EUV2 tool development Designed for both 32 nm hp and 22 nm device process development Production tool verification leading to EUV3 development EUV3 planned for production at 22 nm hp and beyond EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 33

Acknowledgements 1. A part of this work was conducted under EUVA projects. EUVA projects have been supported by New Energy and Industrial Technology Development Organization (NEDO). - Nikon gratefully acknowledges Japan Ministry of Economy, Trade and Industry (METI) and NEDO for their supports. 2. Nikon also participate in Selete program and appreciate Selete members for their useful discussion and advice. Thank You. EUVL Symposium 2008 @Lake Tahoe September 29, 2008