Critical issue of non-topcoat resist for ultra low k 1 lithography

Similar documents
From ArF Immersion to EUV Lithography

Update on 193nm immersion exposure tool

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Holistic View of Lithography for Double Patterning. Skip Miller ASML

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

DSA and 193 immersion lithography

Novel EUV Resist Development for Sub-14nm Half Pitch

Progresses in NIL Template Fabrication Naoya Hayashi

Negative tone development process for double patterning

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Advanced Patterning Techniques for 22nm HP and beyond

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Nikon F2 Exposure Tool

Scope and Limit of Lithography to the End of Moore s Law

Business Unit Electronic Materials

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Process Optimization

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Imaging for the next decade

The future of lithography and its impact on design

Imec pushes the limits of EUV lithography single exposure for future logic and memory

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Lithography on the Edge

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

EUVL getting ready for volume introduction

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

R&D Status and Key Technical and Implementation Challenges for EUV HVM

What s So Hard About Lithography?

Titelfoto. Advanced Laser Beam Shaping - for Optimized Process Results and Quality Inspection in the PV Production - Maja Thies.

2009 International Workshop on EUV Lithography

High-NA EUV lithography enabling Moore s law in the next decade

Acceleration of EUV Resist Development with EB Tool

Mask Technology Development in Extreme-Ultraviolet Lithography

Development of Nanoimprint Mold Using JBX-9300FS

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Nikon EUVL Development Progress Update

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

(Complementary E-Beam Lithography)

Mask projection surface structuring

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

EUVL Challenges for Next Generation Devices

EUV Resists: Pushing to the Extreme

Fundamental Aspect of Photosensitized Chemically Amplified Resist How to overcome RLS trade-off

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Line Width Roughness Control for EUV Patterning

EUV Interference Lithography in NewSUBARU

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

MICRO AND NANOPROCESSING TECHNOLOGIES

Purpose: Explain the top advanced issues and concepts in

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

CD-SEM for 65-nm Process Node

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Key Photolithographic Outputs

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Challenges of EUV masks and preliminary evaluation

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Dominance and Best Response. player 2

OPC Scatterbars or Assist Features

SEMATECH Defect Printability Studies

PICO MASTER. UV direct laser writer for maskless lithography

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Dark Field Technologies In-Situ Defect Detection Practical Considerations and Results

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

OPC Rectification of Random Space Patterns in 193nm Lithography

Nanovie. Scanning Tunnelling Microscope

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

EUV Substrate and Blank Inspection

Beyond Immersion Patterning Enablers for the Next Decade

MICROCHIP MANUFACTURING by S. Wolf

Optical Projection Printing and Modeling

EUV lithography: status, future requirements and challenges

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Metrology in the context of holistic Lithography

Transcription:

Critical issue of non-topcoat resist for ultra low k 1 lithography 1 Hirokazu Kato, 1 Tomoya Oori, 1 Koutaro Sho, 1 Kentaro Matsunaga, 1 Eishi Shiobara, 1 Tsukasa Azuma, 2 Yukio Nishimura, 2 Hiroki Nakagawa, 2 Yoshikazu Yamaguchi, 3 Naoko Shirota, 3 Osamu Yokokoji, 4 Tomoharu Fujiwara, 4 Yuuki Ishii and 1 Shinichi Ito 1 Toshiba Corporation 2 JSR Corporation 3 Asahi Glass Co., Ltd. 4 Nikon Corporation 5th_International Symposium on Immersion Lithography Extensions

Background Effect of hydrophobic additives Basic study scan performance Practical study imaging performance Conclusion 2

Background Effect of hydrophobic additives Basic study scan performance Practical study imaging performance Conclusion 3

Beyond the red brick wall 120 Single Exposure Double Patterning k 1 >0.25 <0.25 Exposure Once Twice or more 100 λ0 HP = k1 NA Cost Half pitch (nm) 80 60 40 Logic Flash k 1 = 0.25 High Index Fluid 20 0 Double Patterning (Ultra low k 1 ) 0.6 0.8 1.0 1.2 1.4 1.6 1.8 NA NA = 1.44 TOO EXPENSIVE! 4

Issue of low cost process Throughput of scanner Throughput 15% UP Scan speed of wafer stage Current immersion process Meniscus broken Blister defect Hydrophobicity is not enough High scan speed Film surface should be more hydrophobic for high-speed scan! 5

Candidates of immersion process Developer soluble Current Topcoat process Developer insoluble Non-topcoat process Best candidate Hydrophobicity (Throughput) Process steps? Lithography performance Non-topcoat process is the best candidate! 6

Issues of non-topcoat process Trade-offs? Scan performance Hydrophobic additives Imaging performance Resist Trade-offs? Non-topcoat resist Defectivity Trade-offs? Can non-topcoat process satisfy all issues? 7

Procedure of our study Scan performance (Including immersion defectivity) Imaging performance Basic study Dynamic RCA Hysteresis Sliding angle Surface roughness Leaching Practical study Blister defects Bubble defects Pattern profile LWR Pattern collapse Sensitivity DOF Defectivity Zeta potential Satellite defects 8

Background Effect of hydrophobic additives Basic study scan performance Practical study imaging performance Conclusion 9

Sample conditions Base resist + Hydrophobic additive Non-topcoat resist No. Additive Mw Conc. CA 1 2 77.3 Low 2 4 82.0 A 3 2 83.8 High 4 4 87.2 5 2 79.7 Low 6 4 83.9 B 7 2 80.7 High 8 4 84.8 9 1 84.0 C - 10 2 91.8 Unit of concentration: arb. units 10

Measured parameters Pin nozzle Scan Scan #1 Dynamic receding contact angle (DRCA) θ Scan #2 Tail length of water drop (Tail) 11

Measured parameters PAG θ Scan #3 Leaching Scan #4 Hysteresis (Hys) Scan #5 Sliding angle (SA) Scan #6 Surface roughness (Ra) Defectivity #1 Zeta potential (Zeta) 12

Dynamic RCA 75 70 Higher concentration Higher molecular weight DRCA (degree) 65 60 55 50 45 40 0 1 2 3 4 5 Concentration of additives (arb. units) Current target DRCA > 60 deg. A (Low Mw) A (High Mw) B (Low Mw) B (High Mw) C 13

Zeta Potential 0-5 Additive B -10 Zeta potential (mv) -15-20 -25-30 -35 Additive C Additive A -40-45 0 1 2 3 4 5 Concentration of additives (arb. units) Low defectivity A (Low Mw) A (High Mw) B (Low Mw) B (High Mw) C 14

Correlation of parameters Decision Parameter R 2 (square of correlation parameter R) Strong correlation Tail length Leaching CA Group A DRCA SA Hys Group B Ra Zeta Group C 0.8 0.7 ~0.8 0.6~0.7 0.5~0.6 <0.5 Weak correlation Measurement of DRCA is recommended. (Group A) Hysteresis can be smaller with larger roughness. (Group B) Middle or weak correlation with group A. (Group B) Zeta potential is independent. (Group C) 15

Summary of basic study Base resist + Hydrophobic additive Non-topcoat resist No. Additive Mw Conc. CA 1 2 77.3 Low 2 4 82.0 A 3 2 83.8 High 4 4 87.2 5 2 79.7 Low 6 4 83.9 B 7 2 80.7 High 8 4 84.8 9 1 84.0 C - 10 2 91.8 No. 4 shows the best balance in scan performance! Also in imaging performance? 16

Background Effect of hydrophobic additives Basic study scan performance Practical study imaging performance Conclusion 17

Cross sectional profile 43 nm L/S Attenuated PSM A2 A4 B2 B4 C2 Conc. up TC Large pattern deformation Conc. up pattern height Additive No. Sample TC Conc. Structure Mw (arb. units) 3 A2 w/o A High 2 4 A4 w/o A High 4 7 B2 w/o B High 2 8 B4 w/o B High 4 10 C2 w/o C - 2 11 TC w None - - by higher concentration by additive A or B 18

Litho. performance DOF & Sensitivity No. Sample DOF Sensitivity at 12%EL (mj/cm 2 ) (um) 3 A2 >0.35 17.00 4 A4 >0.35 16.79 7 B2 >0.35 17.21 8 B4 >0.35 17.10 10 C2 >0.35 16.81 11 TC >0.35 17.30 Change in DOF and sensitivity was small LWR (nm) 10 9 8 7 6 5 4 6.46 8.53 LWR 6.59 43 nm L/S Attenuated PSM 8.62 5.71 5.40 A2 A4 B2 B4 C2 TC Trade-off exists between scan and imaging particularly in pattern profile and LWR. 19

Model 75 70 C2: 5.71 nm A4: 8.53 nm 65 DRCA (degree) 60 55 50 A2: 6.46 nm B4: 8.62 nm Additive C 45 B2: 6.59 nm 40 0 1 2 3 4 5 Concentration of additives (arb. units) A (Low Mw) A (High Mw) B (Low Mw) B (High Mw) C Additive A or B Is segregation a key parameter? 20

Defectivity No. Sample Dynamic Zeta RCA potential 10 C2 67.5-14.8 11 TC 47.2-39.6 Defectivity is almost at the same level. C2 DD 0.31 cm -2 TC DD 0.28 cm -2 21

Summary Non-topcoat resist process is a candidate for ultra low k 1 lithography. There are three issues, scan performance, imaging performance and defectivity for non-topcoat resist. Segregation of additives may be a key parameter for trade-off between scan performance and imaging performance. It is possible to keep balance on three issues. Non-topcoat resist process is a powerful candidate for ultra low k 1 lithography. 22

23