The future of lithography and its impact on design

Similar documents
Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Lecture #29. Moore s Law

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

FinFET vs. FD-SOI Key Advantages & Disadvantages

CS4617 Computer Architecture

VLSI: An Introduction

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Chapter 1, Introduction

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Newer process technology (since 1999) includes :

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Introduction to VLSI ASIC Design and Technology

ATV 2011: Computer Engineering

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Practical Information

(Complementary E-Beam Lithography)

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

Practical Information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

40nm Node CMOS Platform UX8

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

MICROPROCESSOR TECHNOLOGY

EUVL getting ready for volume introduction

+1 (479)

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

1 Digital EE141 Integrated Circuits 2nd Introduction

Microprocessor Design in the Nanoscale Era

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

Low Transistor Variability The Key to Energy Efficient ICs

Lecture Wrap up. December 13, 2005

Photolithography I ( Part 1 )

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Intel Technology Journal

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Semiconductor Devices

HOW TO CONTINUE COST SCALING. Hans Lebon

EMT 251 Introduction to IC Design

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

6.012 Microelectronic Devices and Circuits

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

CMOS Technology & Business Trends

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

EUV Supporting Moore s Law

EUV lithography: today and tomorrow

Present Status and Future Prospects of EUV Lithography

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Enabling Breakthroughs In Technology

Digital Integrated Circuits Perspectives. Administrivia

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations

Chapter 15 Summary and Future Trends

Lecture 0: Introduction

FinFET-based Design for Robust Nanoscale SRAM

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Reducing Transistor Variability For High Performance Low Power Chips

The 28 nm CMOS Power Amplifier

EE5324. VLSI Design II

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Innovation to Advance Moore s Law Requires Core Technology Revolution

Toward 5nm node ; Untoward Scaling with Multi-patterning

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

CMOS Technology. 1. Why CMOS 2. Qualitative MOSFET model 3. Building a MOSFET 4. CMOS logic gates. Handouts: Lecture Slides. metal ndiff.

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Session 3: Solid State Devices. Silicon on Insulator

LSI ON GLASS SUBSTRATES

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

Chapter 3 Basics Semiconductor Devices and Processing

Kenneth R. Laker, University of Pennsylvania, updated 20Jan15

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

From Sand to Silicon Making of a Chip Illustrations May 2009

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

Power Spring /7/05 L11 Power 1

Optics for EUV Lithography

Optical lithography is the technique for

Digital Integrated Circuits (83-313) Lecture 3: Design Metrics

What s So Hard About Lithography?

Competitive in Mainstream Products

Transcription:

The future of lithography and its impact on design Chris Mack www.lithoguru.com 1

Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The Future is Here 2

1965: Moore s Observation Components per chip 100000 10000 1000 100 10 Doubling each year 65,000 transistors 64 transistors! 1 1959 1961 1963 1965 1967 1969 1971 1973 1975 Year G. E. Moore, Cramming More Components onto Integrated Circuits, Electronics Vol. 38, No. 8 (Apr. 19, 1965) pp. 114-117. 3

Moore s Law Doubling every 1 2 years 25 nm Components per chip 100000000000 10000000000 1000000000 100000000 10000000 1000000 100000 10000 1000 100 10 feature size + die size 25 µm Today only lithography contributes feature size + die size + device cleverness 1 1959 1969 1979 1989 1999 2009 2019 Year 4

Dennard s MOSFET Scaling Rules Robert Dennard Device/Circuit Parameter Scaling Factor Device dimension/thickness 1/λ Doping Concentration λ Voltage 1/λ Current 1/λ Capacitance 1/λ Delay time 1/λ Transistor power 1/λ 2 Power density 1 There are no trade-offs. Everything gets better when you shrink a transistor! 5

The Golden Age 1975-2000 Dennard Scaling - as transistor shrinks it gets: Faster Lower power (constant power density) Smaller/lighter Moore s Law More transistors/chip & cost of transistor = 15%/year More powerful chip for same price Same chip for lower price Many new applications large increase in volume 6

Problems with Dennard Scaling Voltage stopped shrinking 10 years ago Thermal noise (kt/q = 25 mv at room temperature) Subthreshhold leakage current Gate oxide can only get so thin Interconnect dominates delay Power is at a wall Transistor variability grows with smaller size Small number of dopants per transistor, LER Today, shrinking a transistor makes it worse 7

Dennard + Moore Today The only benefits of shrinking a transistor today are lower cost/function and more functions/chip Moore s Law cost: despite rising fab, equipment and material costs, and increasing process complexity, the cost/cm 2 of finished silicon has remained about constant over the years. How? increasing yields increasing equipment productivity increasing wafer sizes 8

Chip Yield Trend 1970s High volume yields of 20 40% 1980s High volume yields of 40 60% 1990s High volume yields of 70 90% 2000s Yields must stay high, even as the technology gets more difficult (very hard to do!!) Chris Mack 9

Lithography Costs (single patterning) Chris Mack Wafer diameter (mm) Tool throughput (wph) Area throughput (cm^2/sec) Tool cost (M$) Tool cost ( /cm^2) 1979 g-line stepper 2004 ArF scanner 2012 ArF scanner 100 300 300 18 100 240 0.39 20 47 0.45 20 50 0.65 0.65 0.67 (Note: this scaling requires that demand for chips increase by 100X) (Assumes 5-year straight line depreciation, maintenance not included) 10

Wafer Size Trend Time between wafer size increases is growing: Year* Wafer Diameter 1969 3 inch 1976 4 inch 1984 5,6 inch 1989 200mm 2000 300mm Chris Mack *first year of major production 11

Wafer Size and Litho Costs Litho costs scale with area, not wafers Increasing wafer size means litho costs increase as a fraction of total costs Chris Mack 150 mm wafer 25% Litho Cost 200 mm wafer 33% Litho Cost 300 mm wafer 50% Litho Cost 12

Litho Costs are Rising Wafer costs are very sensitive to litho costs Today, resolution improvements come ONLY from multiple patterning Litho costs must rise with multiple patterning Moore s Law costs scaling is no longer -15%/yr What is the smallest cost/transistor improvement that makes the next node worth while? 13

EUV Lithography Currently 10 wph 14

EUV Lithography: the Future is Not Bright Three major roadblocks to EUVL production Defect free masks (yield) High brightness source (throughput) Low line-edge roughness (LER) Current schedule calls for NXE:3300 shipping this year, going into production next year at 70 wph This will not happen In the end, it is the economics of production with EUVL that will determine its fate 15

The End of Litho Scaling? The reason to scale feature size is to lower the cost per transistor But if litho costs continue to rise, this benefit will likely disappear If higher litho costs mean higher cost per transistor, why reduce feature size? But wait! What about Moore s Law? 16

Intel s Moore s Law 10,000,000,000 1,000,000,000 100,000,000 10,000,000 486 Pentium 1,000,000 80286 100,000 10,000 Doubling every 2.1 years 1,000 1/1/1970 1/1/1980 1/1/1990 1/1/2000 1/1/2010 1/1/2020 17

Intel s Moore s Law Itanium 2 10,000,000,000 1,000,000,000 100,000,000 10,000,000 486 Pentium 1,000,000 80286 100,000 10,000 Doubling every 2.1 years 1,000 1/1/1970 1/1/1980 1/1/1990 1/1/2000 1/1/2010 1/1/2020 18

Intel s Itanium 2 Introduced Feb. 2010 First Intel chip with 2 billion transistors 30MB Cache (1.4 billion transistors) 19

Intel s Moore s Law 10,000,000,000 1,000,000,000 100,000,000 10,000,000 1,000,000 80286 486 Pentium Itanium 2 w/o cache 100,000 10,000 1,000 1/1/1970 1/1/1980 1/1/1990 1/1/2000 1/1/2010 1/1/2020 20

The Design Gap Today, we can make more transistors than we can use in logic circuits The trend in microprocessors is multiple processors per chip with lots of cache and SOC Typical chip die size is far smaller than maximum For logic, the only reason to shrink today is cost We are simply not using more transistors Flash memory has no problem using as many transistors as we can make so long as the cost per transistor keeps dropping 21

The Design Gap Design Gap = # transistors/chip I can make # transistors/chip I can design 22

Design Gap Intel Ivy Bridge 22-nm process 1.4 billion transistors Shrink from 32-nm Sandy Bridge 8.14 mm 19.5 mm 23

Design Gap Maximum Lithography Field Size 26 mm 33 mm 24

Device Cleverness How to reduce the area per transistor Isolation: LOCOS STI (shallow trench isolation) Interconnect: Single metal (all tracks between transistors) Multilevel metal (most tracks above transistors). Has this shrunk area/transistor? Transistor: Planar FinFET (gate width into the third dimension) DRAM: Folded bit line (8F 2 ) Diagonal bit line (6F 2 ) Flash: Single level cell Multilevel cell 25

Intel Microprocessor Device Cleverness 350 300 Area/transistor/F 2 250 200 150 100 50 SRAM 0 1/1/1970 1/1/1980 1/1/1990 1/1/2000 1/1/2010 1/1/2020 Introduction Date 26

The Future: Standard Scenario Begin using EUV lithography in 2014 Many technical hurdles May never be cost-effective: the SST of lithography? Wafer size increases to 450 mm in 2017-2018 Lowers the cost per chip, but only for high-volume manufacturers No one knows how to pay for the equipment development costs Litho cost becomes 70 80% of chip cost Chip production is dominated by three or four super-fabs One fab costs > US$10B Moore s Law goes on as before We all have a super computer in our pocket Chris Mack 27

The Future: Possible Scenario 193i + DSA Very tight (single) pitch unidirectional lines cover the chip Cuts made with 193i + DSA with simple design rules Strict layout paradigm All devices are on a grid Layout choice: where to remove a line There will be no shrink of standard cell IP Every IP block must be redesigned Materials challenges High resistance lines and high resistance contacts Chris Mack 28

The Future: Likely Scenario Moore s Law continues only by redefining it True Moore s Law ends on Wednesday, Feb. 26, 2014 Litho is good at printing small lines/spaces, but not irregular patterns The end of shrinks Lithography still a key technology, but value moves to materials, devices, and designs The design gap is now about 20 30 for logic Chris Mack 29

Conclusions There is Hope! The Golden Days of Moore + Dennard are over The beginning of the end of litho scaling is here Chip cost is extremely sensitive to lithography costs, and lithography costs are rising Physical limits are stochastic (line-edge roughness), but economic limits will get us first But there is hope! There is lots of room for device cleverness Fill in the design gap! 30