R&D Status and Key Technical and Implementation Challenges for EUV HVM

Similar documents
EUVL getting ready for volume introduction

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUV Lithography Transition from Research to Commercialization

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Lithography Industry Collaborations

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

2009 International Workshop on EUV Lithography

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Mask Technology Development in Extreme-Ultraviolet Lithography

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Nikon EUVL Development Progress Update

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Progress in full field EUV lithography program at IMEC

Optics for EUV Lithography

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

EUVL: Challenges to Manufacturing Insertion

EUV lithography: today and tomorrow

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Novel EUV Resist Development for Sub-14nm Half Pitch

Scope and Limit of Lithography to the End of Moore s Law

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Challenges of EUV masks and preliminary evaluation

Advanced Patterning Techniques for 22nm HP and beyond

EUV Light Source The Path to HVM Scalability in Practice

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Status and challenges of EUV Lithography

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

EUV Actinic Blank Inspection Tool Development

EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

EUV lithography: status, future requirements and challenges

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Imaging for the next decade

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Update on 193nm immersion exposure tool

Scaling of Semiconductor Integrated Circuits and EUV Lithography

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

Process Optimization

Beyond Immersion Patterning Enablers for the Next Decade

(Complementary E-Beam Lithography)

EUV Supporting Moore s Law

Competitive in Mainstream Products

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Enabling Semiconductor Innovation and Growth

Comparison of actinic and non-actinic inspection of programmed defect masks

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Mask magnification at the 45-nm node and beyond

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Intel Technology Journal

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

From ArF Immersion to EUV Lithography

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

SEMATECH Defect Printability Studies

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Lithography on the Edge

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Negative tone development process for double patterning

Diffractive optical elements and their potential role in high efficiency illuminators

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Lithography. International SEMATECH: A Focus on the Photomask Industry

MAPPER: High throughput Maskless Lithography

Critical Challenges of EUV Mask Blank Volume Production

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

DSA and 193 immersion lithography

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

EUVL: Challenges to Manufacturing Insertion

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Progresses in NIL Template Fabrication Naoya Hayashi

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Line Width Roughness Control for EUV Patterning

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Defect printability of thin absorber mask in EUV lithography with refined LER resist

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Pellicle dimensions for high NA photomasks

High-NA EUV lithography enabling Moore s law in the next decade

Optical Microlithography XXVIII

What s So Hard About Lithography?

Photolithography I ( Part 1 )

Transcription:

R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation

Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2

Moore s Law at Intel The trend is expected to continue 3

Transistor Density Trend 4

On-Time 2 Year Cycle 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 2001 2003 2005 2007 2009 forecast 2011 forecast In Development 291 Mb SRAM 2 ND gen. HK+MG 5

Paths to Feature Size Scaling 0.5 0.45 0.4 d = k 1 λ NA NA = 0.93 λ= 193nm Increase NA Enable reduced pitches through process options (like double patterning) Reduce Wavelength k1 0.35 0.3 k 1 < 0.3 tends to have 0.25 manufacturability issues 0.2 100 90 80 70 60 50 Feature Size (nm) 6

Lithography Transitions If current lithography is capable of delivering a manufacturable process, use it If not: If new lithography technology is ready, manufacturable and cost-effective, use it (increase NA, reduce λ) If not: need to make alternative decisions to enable scaling without litho improvements (operate more effectively at lower k 1 ) Managing litho transitions is key! Requires significant planning 7

1.2 ArF Pitch Division vs. EUV 0.9 1.35NA ArF DP 1.35NA ArF EUV k1 0.6 0.93NA ArF?? 0.3 k 1 = 0.3 0 100 90 80 70 60 50 40 30 20 1/2 Pitch (nm)? 45nm node 80nm HP 07 HVM Dry 32nm node 56nm HP 09 HVM Immersion 22nm node ~40nm HP 11 HVM Immersion 15nm node 26-30nm HP 13 HVM ArF PD/ EUV 11nm node 18-22nm HP 15 HVM ArF PD/ EUV 8

Patterning Choices for 15nm and 11nm ArF Pitch Division EUV Advantages: Known technology Well-established infrastructure Mature photoresist and tooling Disadvantages: Complex process flow Very expensive Complicated DRs Advantages: Single exposure Simpler DRs Disadvantages: Unknown technology Infrastructure needs to be developed Immature photoresist, tooling 9

ArF Pitch Division Double Patterning Pitch Division (DPPD) Spacer Based Pitch Division (SBPD) Half Pitch (nm) 90 80 70 60 50 40 30 20 10 0 0.8 0.9 1 1.1 1.2 1.3 NA ArF PD gains significant resolution at the expense of process complexity 10

λ Scaling The Case for EUV 28nm ArF DP EUV HP k 1 0.39 0.54 11

EUV HVM Key Requirements Stable hardware Scanner platform Optics Overlay/stage System (vacuum) Source Reliability and uptime Power Photoresist that meets requirements Resolution, sensitivity, LWR Etch interactions Reticles Defectivity Infrastructure (cleans, inspections, handling) Success of EUV in HVM will depend on progress on all these fronts 12

Exposure Tooling 13

External EUV Exposure Tooling Nikon EUV1 alpha tool 0.25NA full field scanner Currently installed at Nikon and SELETE ASML Alpha Demo Tool (ADT) 0.25NA full field scanner Currently installed at IMEC and SEMATECH Intel Internal MET small-field exposure tool Target application is resist development 14

EUV HVM Exposure Tooling Development ASML ADT printed wafer Nikon EUV1 printed wafer EUV Source Suppliers are competing towards HVM tool development Cymer beta source Philips beta source 15

Nikon EUV1 Tool Field Size 26 x 33 mm 2 NA and Magnification 0.25, x1/4 Illumination Sigma Overlay Adjustable 10 nm WFE 0.4 nm RMS (average) 22 26 mm Min. 0.3nm RMS ~ Max. 0.5nm RMS 16

Nikon EUV Tool Data Lines (Static) Ultimate Resolution 26nm HP LWR 7.05nm Esize 17.8mJ/cm 2 32/64nm Line Ends HP (nm) 28 30 32 35 LWR (nm) 5.33 5.25 4.56 4.52 DOF (nm) 140 >210 >210 >280 17

Nikon EUV Tool Data Trenches (Static) Ultimate Resolution 31nm HP LWR 7.22nm Esize 16.2mJ/cm 2 32/64nm Line End Trench HP (nm) 32 35 40 45 LWR (nm) 6.46 5.98 5.58 5.63 DOF (nm) >100 >140 >160 >180 18

ASML Alpha Demo Tool (ADT) 19

ADT Patterning Results 20

ADT Overlay Stability Data 21

Cymer LPP EUV Source Photo Courtesy of Nigel Farrar, Cymer, Inc. 22

Cymer EUV Source 23

Philips DPP EUV Source 24

Photoresists 25

0.3NA capability 600 x 600 µm field Low flare (3-6%) Intel MET Source: (XTREME DPF Source) 0.5mm x 2mm (FWHM) 35W EUV in 2π New EUV collector installed New outer shell extended σ outer from 0.55 to 0.65, 22nm HP resolution with quadrupole illumination First step in preparation for 0.5 NA MET projection optics (2010) that will enable ~10nm HP resolution 26

Intel MET Status Uptime average: 67% in 07, 85% in 08, 63% through WW22 in 09 Continuous improvement in output efficiency 13J/cm 2 /day currently On track to deliver more dose in 2009 than in 2008 Improved resolution and expanded process window Long term upgrade path defined down to ~10nm HP > 250 Resists Screened in 08. Goal > 500 in 09 27

New MET Quad Source Enables 22nm HP Quad 0.68/0.36 30nm HP 28nm HP 26nm HP 24nm HP 22nm HP 20nm HP 28

Berkeley ALS-MET (Rotated Dipole) :: Champion RLS Summary for 30 + 22 hp Resist D Esize = 13.75 mj Min LWR = 4.8 nm UR ~ 28 nm HP SMT01 Esize = 10.80 mj Min LWR = 6.2 nm UR ~ 24 nm HP Resist E Esize = 9.95 mj Min LWR = 6.3 nm UR~ 24 nm HP Resist F Esize = 6.85 mj Min LWR = 5.3 nm UR~ 26 nm HP 22 HP 30 HP Champion CAR platforms Nominally Meeting 22nm HP R/S Targets but Failing for LWR/PC 29

Pattern Collapse Margin Improvement Pattern Collapse Mitigation is primary focus for 2009 Multiple approaches may be needed to address problem Modify Aspect Ratio Surface (Energy)Optimization: Hydrophobicity, Multilayer stacks Increased resist modulus, Negative Tone & Semi-organic Resists Decreased Surface Tension: Rinse agents, Organic Developers, Develop/Rinse/Spin Dry Process Optimization 30

LWR Reduction Techniques No Treatment Technique Etch/Trim Reduction (nm) Reduction (%) Etch/Trim 0.5 10 Vapor Smoothing 0.9 18 Hardbake 0.6 12 Ozonation 0.5 10 Rinse 2 40 Vapor Hardbake Ozonation Physical (Etch/Trim, Hardbake) Photoresist chemistry independent Chemical (Vapor, Ozonation, Rinse Agent) Photoresist chemistry dependent Rinse Chandhok et al, J. Vac. Sci. Technol. B, (Nov 2008) Multiple techniques may be needed to address LF & HF roughness Largest LWR Improvement Seen with Rinse Agent 31

Resist and Tooling Gaps Photospeed (mj/cm 2 ) 3σ LWR (nm) Current 10/20 3.8/6.4 Target 10 1.9/1.28 Improvement Required None/2X 2X/5X Source Power Photoresists (32/22nm HP) Power (W) Current ~20 Target 200 Improvement Required 10X Scanner Runrate Runrate (wph) Current 5 Target 100 Improvement Required 20X Summary: Good progress made to date Need continued work to bridge (or significantly reduce) gaps for both performance and COO 32

Reticles 33

HVM Reticle Infrastructure Requirements Reticle Requirements Mask Shop Mask Manufacturing Mask Cleaning Blank inspection Patterned inspection AIMS inspection Fab In-situ Inspection Patterned Inspection Need inspection capability in both the mask shop and the fab to ensure manufacturable operations 34

Intel s Mask Tool Pilot Line 1G & 2G Blank Inspection Flatness EUV Refl Film Dep 3G Actinic Blank Inspection Sorter Patterned mask inspection Mask Clean EUV AIMS 35

Mask Blank Yield Gap for Pilot Line and HVM Introduction Determine Defect Density Target 0.003 defects/cm 2 @ 18 nm is the historical defect free target However, recent data suggests only 10-20% of defects print The ultimate HVM defect density target might be 0.01 defects/cm @ 18 nm Today: 1 defect/cm 2 @18nm Gap to Pilot: > 25x Gap to HVM: >100x Pilot Line Yield defects/cm 2 Today 10-20% of defects print HVM Pilot HVM Yield Data from Sematech 36

Jan-06 Jan-07 Jan-08 Jan-09 Jan-10 Jan-11 Jan-12 Jan-13 Jan-14 Defect counts 1.E+04 1.E+03 1.E+02 1.E+01 1.E+00 Mask Blank Defect Trends 80nm 1G inspection tool 45nm 50nm 45nm 2G tool 35nm 35nm 80nm defect size 50nm defect size RM-for blank need by 2012 RM-for blank need by 2014 3G Actinic Inspection 30nm 25nm 3G tool 25nm 20nm Actual Needed Metrology Tool Gap Limits HVM Insertion! 37

New Blank Defect Inspection Capability M1350 M7360 Blank inspection tool G1 (M1350) G2 (M7360) Laser source λ 488 nm 266 nm > 98% capture rate 2004-Q2 08 Q3 08 Q1 09 Def. on quartz substrate 70 nm 45 nm 35 nm Def. on ML blank 80 nm 50 nm 40 nm 2 nd -gen mask blank inspection tool successfully installed in June Inspectability will be further extended with spatial filter upgrade in Q4 Moving toward ultimate 25nm inspection requirement for 2010-11 38

AIMS and Patterned Defect Inspections AIMS: Industry requirement: 22nm hp+ defect repair verification with scanner conditions Strategy for 2013 HVM: HVM tool requires commercial partner, but market is small Consortium model attempts underway July summit Patterned: Industry Requirement: Patterned defect inspection at 22nm HP KLA6XX will achieve 32nm and some 22nm HP performance Strategy for 2013 HVM: Market is sizeable and tool cost significant Suppliers unwilling to bear $250M NRE cost alone July summit SEMATECH contribution will be to broker funding model 39

HVM Pilot Development EUV Mask Inspection Tools Summary Defect Size [nm] 51 67 41 35 20 15 Substrate 53 40 25 20 15 Blank Substrate & Blank Lasertec M1350 (1st Generation) M1350 & M7360 @ SEMATECH Lasertec M7360 (2nd Generation) 2.5 Generation Substrate Inspection Tool (2.5G) (Supplier TBD) (can also be used for destructive blank inspection) Table from Sematech SEMATECH Berkeley AIT Selete MIRAI 3 rd Generation Blank Inspection Bridge Tool (3G ) (Supplier TBD) Commercial 3G Inspection Tool (Supplier TBD) ABC = Existing Tools AIMS & Patterned SEMATECH Berkeley AIT 88 nm mask CD resolution Key for defect printability understanding SEMATECH Berkeley AIT2 60 nm mask CD resolution AIMS Bridge tool Commercial AIMS Tool (Supplier TBD) KLA 5XX KLA 6XX Actinic Patterned Inspection (Supplier TBD) = Actinic Inspection Tools 40

Particle-free Reticle Handling Progress spod Carrier He, et al. Proc. SPIE 6921, 69211Z (March 21, 2008) E152 standard compliant prototype (spod) shows reticle protection down to 0.1 added particles per lifecycle @53 nm. with Inner Pod Exposed 41

EUV Pellicle Demonstration Hexagonal Ni mesh + Si membrane 1 2 3 4 5 high risk/cost backup project full size pellicle demonstrated uniformity impact studies underway varying standoff height and mesh size 42

In-situ Inspection Need to verify reticle cleanliness AFTER loading into scanner and BEFORE printing wafers Repeater concern is serious due to lack of pellicles ArF scanners have in-situ reticle inspection capability Not having in-situ capability would require printing of defect look-ahead wafers Manageable in development and perhaps in pilot line mode Unacceptable for HVM Need focus from tool vendors to have capability avaialable in HVM tooling platforms 43

Reticle Technical and Infrastructure Gaps Current reticle defectivity gap is about 25-100X Need continuous improvement Relaxation of flatness spec might help bridge gap Inspection gaps Actinic blank inspection Patterned defect inspection spec vs. actual In-situ inspection AIMs inspection SEMATECH is adopting a bridge tool solution for actinic blank and AIMS inspection so that some capability will be available for pilot line in 2011 Production actinic inspection, AIMS, and patterned inspection will require industry-wide funding (July workshop) 44

Summing Up 45

HVM Gaps - Overall Full field production scanner Suppliers building solutions? Estimated Cost for HVM Solution Time to HVM Solution Yes Funded 2012 Source Yes Funded 2011 Resist Yes Funded 2011 Mask Blank Multilayer Dep Actinic Blank Inspection Actinic Defect Review Mask Patterned Inspection Yes No No No Funded >50M >50M >100M 2013 2013? 2013? 2013? Table from Bryan Rice, Sematech SEMATECH s EUV mask infrastructure strategy is: Obtain support from various partners (public and private) Commit most of SEMATECH s Litho budget to mask infrastructure over next four years Need industry consensus on required funding to bridge gaps 46

EUV Cost-Effectiveness COO! 47

No Exponential is forever, but we can delay forever Gordon Moore Scaling + Yield Defect Density (Log Scale) Source: http://singularity.com Will EUV performance and COO enable us to continue to delay forever? 48

Conclusions Substantial progress made on resist and tooling Resists typically about 2X from goal for sensitivity/lwr Laser power about 10X from goal Overall tool runrate requires ~ 20X improvement to 100wph goal Reticle defectivity is a major concern Blank defectivity needs substantial improvement Relaxation of flatness requirement might provide some mitigation Reticle inspection capability has major gaps. Need industry funding to enable tooling to be developed in time for HVM Academic exercise is over!! EUV has moved from research to implementation mode Problems left to be solved are largely engineering in nature Need sustained focus and industry-wide commitment to solve Ultimately EUV insertion will be based on a COO decision vs. ArF 49