Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Similar documents
Holistic View of Lithography for Double Patterning. Skip Miller ASML

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Update on 193nm immersion exposure tool

Advanced Patterning Techniques for 22nm HP and beyond

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Business Unit Electronic Materials

EUVL getting ready for volume introduction

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

From ArF Immersion to EUV Lithography

R&D Status and Key Technical and Implementation Challenges for EUV HVM

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Line Width Roughness Control for EUV Patterning

Imaging for the next decade

DSA and 193 immersion lithography

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Novel EUV Resist Development for Sub-14nm Half Pitch

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

2009 International Workshop on EUV Lithography

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Negative tone development process for double patterning

Beyond Immersion Patterning Enablers for the Next Decade

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

Photolithography Technology and Application

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

A Novel Resist Freeze Process for Double Imaging

Optical Microlithography XXVIII

Scope and Limit of Lithography to the End of Moore s Law

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Progress in full field EUV lithography program at IMEC

Chapter 6. Photolithography

Major Fabrication Steps in MOS Process Flow

Acceleration of EUV Resist Development with EB Tool

Device Fabrication: Photolithography

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

EUV Lithography Transition from Research to Commercialization

Critical issue of non-topcoat resist for ultra low k 1 lithography

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Lithography Industry Collaborations

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Photolithography I ( Part 1 )

EUV lithography: status, future requirements and challenges

Lithography on the Edge

Mask Technology Development in Extreme-Ultraviolet Lithography

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUV lithography: today and tomorrow

Competitive in Mainstream Products

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Contrast Enhancement Materials CEM 365HR

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Nikon EUVL Development Progress Update

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Progress & actual performance of the Selete EUV1

EUV Supporting Moore s Law

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Feature-level Compensation & Control

Introducing 157nm Full Field Lithography

Process Optimization

Metrology in the context of holistic Lithography

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Progresses in NIL Template Fabrication Naoya Hayashi

Challenges of EUV masks and preliminary evaluation

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Contrast Enhancement Materials CEM 365iS

MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS

(Complementary E-Beam Lithography)

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Characterization of a Thick Copper Pillar Bump Process

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Toward 5nm node ; Untoward Scaling with Multi-patterning

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Optics for EUV Lithography

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

i- Line Photoresist Development: Replacement Evaluation of OiR

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

DOE Project: Resist Characterization

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

OPC Rectification of Random Space Patterns in 193nm Lithography

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Chapter 6 Photolithography

Evaluation of Technology Options by Lithography Simulation

Experimental measurement of photoresist modulation curves

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Transcription:

Shooting for the 22nm Lithography Goal with the Coat/Develop Track SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Three (3) different exposure options for 22nm: Public External (L1) MAPPER Lithography on E-Beam Maskless (ML2) ASML on EUV Lithography & M.P. Immersion ArF NIKON on Multiple Patterning Immersion ArF & EUVL One (1) in-line coat/develop track fits all scenarios: 2 SOKUDO Lithography Breakfast Forum 2010 July 14

However, coat/develop track configuration varies significantly by photolithography technology Throughput (wph) Projections wph = wafers per hour * Assumes E-Beam (ML2) Cluster Tool such as MAPPER Litho. 2011 2012 2013 2014 2015 E-Beam 1 5 5 10 60 100* 100+* 120+* EUV 30 60 60 100 80 125 125+ 150+ Immersion 180 230 200 240 220 260 260+ 280+ Resist Process Steps on Track UL / Barc Coat Resist Coat Top Coat Backside Clean Bevel Clean Post E. Rinse Develope E-Beam - - - EUV - - - Immersion 3 SOKUDO Lithography Breakfast Forum 2010 July 14

SOKUDO Coat/Develop Track 22nm Process Development Double Patterning LPLE Materials & Process Benchmarking 32nm 26nm EUV Lithography Resist Qual. New resist process evaluations @ SELETE E-Beam DW Qualification MAPPER Lithography E-Beam Process R&D 12 SOKUDO Lithography Breakfast Forum 2010 July 14 SOKUDO RF3 track process E-Beam litho. exposures on Vistec SB3054DW at LETI

IMEC Immersion Lithography with SOKUDO Immersion Resist Process Defectivity: Microbridging & Resist Filtration CoO Study for Double Patterning Lithography CDU optimization for immersion lithography & Double Patterning: JSR s litho-freeze-litho process (freeze coat, thermal freeze) TOK s posi-posi process Freeze-Free 13 SOKUDO Lithography Breakfast Forum 2010 July 14 ASML XT:1900Gi + SOKUDO RF3S

Photo Double Patterning Resist Process on Track Freeze Coat chemical b/w 1 st & 2 nd Resist Self-Freeze by 2 nd Resist Coat & Bake Thermal freeze bake JSR -- TOK -- -- Dow Elec. Mtrl. Shin-Etsu -- Sumitomo -- TOK Freeze-Free or JSR Thermal Freeze COAT DEVELOP COAT DEVELOP EXP CP PAB SC(Resist 1) CP PAB SC(BARC) CP AHP PEB CP DEV (+) HB CP EXP CP PAB SC(Resist 2) CP PEB CP DEV (+) HB CP START END Litho 1 Litho 2 14 SOKUDO Lithography Breakfast Forum 2010 July 14

JSR Freeze Coat & Thermal Freeze Comparison 32nm Feature Target CD-SEM Images Litho 1 @ L1 Litho 1 @ L2 Combined L1:L2 Pattern Thermal Freeze Freeze Coat CD Growth CD Shrink Litho 1 Pattern 15 SOKUDO Lithography Breakfast Forum 2010 July 14 Litho 2 Pattern

JSR Thermal-Freeze 26nm L/S Target CDU SPIE 7639-81 Simplified Litho-Cluster-Only solution for double patterning ; JSR, ASML, SOKUDO The CD uniformity data with the thermal freeze process comparable to traditional litho-etch-litho-etch and spacer double patterning CDU. Layer 1 Layer 2 Mean : 27.00nm CDU 3σ : 1.85nm Mean : 26.75nm CDU 3σ : 1.35nm Substrate:ARC 29-SR (105nm) Layer 1 : Non-TC thermal freeze resist (FT=60nm,130C/125C, SCA/RCA/ACA=90º /76º /94º ) Layer 2 : Non-TC normal resist (FT=50nm,100C/95C, SCA/RCA/ACA=92º /80º /95º ), Exposure : 39nmL96nmP with att-psm, NA=1.35, Dipole40X, 0.747/0.626, Y-Polarization Development : ECO Nozzle(OPD262/DIW) 17 SOKUDO Lithography Breakfast Forum 2010 July 14

integration for ASML NXE:3100 (EUV) SOKUDO EUVL Coat/Develop Track Configurations ~120wph Coat: Underlayer, Resist Develop: TMAH / TBAH developers; various rinse approaches Bake: Biased Hot Plate (QBH) for CDU control / tuning; Q Quick set-temperature change bake between lots 18 SOKUDO Lithography Breakfast Forum 2010 July 14

Maximize EUV Lithocell Utilization: Exposure Test Lot Run + R&D Eval. Wafers simultaneously ASML NXE:3100 Pilot Test Lot Run R&D Eval. Wafers ASML NXE:3100 Pilot Test Lot Run R&D Eval. Wafers 20 SOKUDO Lithography Breakfast Forum 2010 July 14

E-Beam Experience by SOKUDO Advantest F-100 (Japan) SOKUDO RF3 Coat, Developer in-line with E-Beam Vistec SB3054DW @ Grenoble (France) SOKUDO RF3 off-line for E-Beam in-line with Nikon NSR-S307 21 SOKUDO Lithography Breakfast Forum 2010 July 14

E-Beam Coat/Develop Track in-line with MAPPER MAPPER E-Beam Cluster Target 100 WPH SOKUDO DUO Track ~ 2C = Underlayer 2C = RESIST 4D = DEVELOP with Backside Scrub + E-Beam interface 23 SOKUDO Lithography Breakfast Forum 2010 July 14

EUV, E-Beam Common Resist Process Development Focus Points Resist Manufacturers Continuously Reformulating for Line Width Roughness (LWR) Sensitivity to Dose Resolution 32 nm 22 nm 32 nm 22 nm CD LWR, Pattern Collapse & Defectivity Track Process Studies: Develop methods, solutions Rinse methods, solutions Reference: SPIE 7636-27, February 2010, San Jose, CA USA 24 SOKUDO Lithography Breakfast Forum 2010 July 14

SOKUDO EUVL Technical Papers History Public External (L1) SPIE Advanced Lithography 2009 7273-111 Development of EUV resists at Selete SELETE (SOKUDO assignee, Koji Kaneyama) 7273-115 EUV resist processing in vacuum SELETE, SOKUDO International Symposium on EUVL 2009 Resist II EUV resist materials and processing at Selete SELETE (SOKUDO assignee, Koji Kaneyama) Poster 94 Study of post-develop defect on typical EUV resist SOKUDO SPIE Advanced Lithography 2010 7636-111 Study of post-develop defect on typical EUV resist SOKUDO 7636-115 Alternative resist processes for LWR reduction in EUVL SELETE (SOKUDO assignee, Koji Kaneyama) 7639-26 Development of EUV-resists based on various new materials SELETE (SOKUDO assignee, Koji Kaneyama) 7636-27 Development of resist material process for hp 2x nm devices using EUV lithography SELETE (SOKUDO assignee, Koji Kaneyama) 25 SOKUDO Lithography Breakfast Forum 2010 July 14

Coat, Bake & Develop Track Process Knobs for EUV / E-Beam resist development SPIN COAT Dispense Chemistries: Underlayer + Photo Resist Thin-film coating recipe 40-60nm thickness BAKE & CHILL Post-Expose (PEB) for CD Uniformity control High Temp. (PAB, BARC) DEVELOP Defect Control Approach Wafer Rinse & Dry Surfactant Rinse Developer Chemistries: TMAH, TBAH(?) Negative Develop(?) 26 SOKUDO Lithography Breakfast Forum 2010 July 14

PEB in vacuum vs. atmosphere Public External (L1) Custom built Vacuum PEB Baking Chamber & Cooling Chamber EUV Resist Type MET-2D BAKE EUV Resist Type SSR3 = = < Summary: Results depend on resist, PEB in Vacuum may be low value-added Reference: EUV resist processing in vacuum, Koji Kaneyama, Shinji Kobayashi, Toshiro Itani; Proc. SPIE 7273-115 (2009) 28 SOKUDO Lithography Breakfast Forum 2010 July 14 28 > > >

TBAH Developer extends CD capability, reduces pattern collapse Public External (L1) DEVELOP Reference: EUV resist materials and processing at Selete, K. Matsunaga, et.al., International Symposium on EUV Lithography, October 2009, Prague 29 SOKUDO Lithography Breakfast Forum 2010 July 14 29

Pattern Collapse limiting EUV resist resolution Public External (L1) Reference: SPIE 7636-27, February 2010, San Jose, CA USA 30 SOKUDO Lithography Breakfast Forum 2010 July 14

EUV resists improving with each new generation: Resolution, LWR, Sensitivity SSR# = Selete Standard Resist # Reference: SPIE 7636-27, February 2010, San Jose, CA USA 31 SOKUDO Lithography Breakfast Forum 2010 July 14

Common / specific defect history; EUV study started MUV KrF ArF λ 365nm λ=248nm λ=193nm ArF immersion EUV λ=13.5nm Common Defects Residue Specific Defect Blob micro-bubble Bottom-ARC µ-bridge Water Droplet before PEB None 32 SOKUDO Lithography Breakfast Forum 2010 July 14

EUV & E-Beam Although EUV may be leading the march towards 22 nm, E-Beam can close gap with (MAPPER) throughput plans for improvement towards 2012+ SOKUDO participating in EUV and E-Beam collaborations EUVL consortia resist evaluations and characterization E-Beam IMAGINE project resist process qualification EUV and E-Beam resists following similar trends and challenges: In parallel transitioning from 32nm 22 nm process development Both resist systems largely based on reformulating i/krf generation blends Resist trending to thinner coatings: 40-60 nm target thickness Resolution LWR Sensitivity (RLS) all common issues 33 SOKUDO Lithography Breakfast Forum 2010 July 14

Shooting for the 22nm Lithography Goal with the Coat/Develop Track SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)