REALISTIC METHOD OF 193 NM LITHOGRAPHY EXTENSIONS TO 1X-NM NODES

Similar documents
(Complementary E-Beam Lithography)

Intel Technology Journal

MAPPER: High throughput Maskless Lithography

Advanced Patterning Techniques for 22nm HP and beyond

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Optical Microlithography XXVIII

Scope and Limit of Lithography to the End of Moore s Law

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

EUVL getting ready for volume introduction

What s So Hard About Lithography?

16nm with 193nm Immersion Lithography and Double Exposure

Computational Lithography

EUV Supporting Moore s Law

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Update on 193nm immersion exposure tool

Holistic View of Lithography for Double Patterning. Skip Miller ASML

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

Changing the Approach to High Mask Costs

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

EUV lithography: today and tomorrow

Market and technology trends in advanced packaging

Imaging for the next decade

The Need for Multiple Alternatives for sub-20 nm Lithography

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Lithography on the Edge

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Mask Technology Development in Extreme-Ultraviolet Lithography

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Part 5-1: Lithography

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Lithography. International SEMATECH: A Focus on the Photomask Industry

EUV lithography: status, future requirements and challenges

Energy beam processing and the drive for ultra precision manufacturing

Newer process technology (since 1999) includes :

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Optics for EUV Lithography

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Process Optimization

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

Design Rules for Silicon Photonics Prototyping

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

New CD-SEM System for 100-nm Node Process

Process Variability and the SUPERAID7 Approach

Reducing Proximity Effects in Optical Lithography

MICROCHIP MANUFACTURING by S. Wolf

EUV Lithography Transition from Research to Commercialization

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

Enabling Semiconductor Innovation and Growth

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Limitations and Challenges to Meet Moore's Law

Michigan State University College of Engineering; Dept. of Electrical and Computer Eng. ECE 480 Capstone Design Course Project Charter

Progress in full field EUV lithography program at IMEC

Photolithography I ( Part 1 )

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Managing Within Budget

Status and challenges of EUV Lithography

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Evaluation of Technology Options by Lithography Simulation

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Exhibit 2 Declaration of Dr. Chris Mack

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Mask magnification at the 45-nm node and beyond

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Transcription:

REALISTIC METHOD OF 193 NM LITHOGRAPHY EXTENSIONS TO 1X-NM NODES Soichi Owa Nikon Corporation The current state-of-the-art development technology in immersion lithography has successfully demonstrated resolutions down to 11 nm half pitch utilizing double or multiple patterning techniques (DPT or MPT). Even at these small feature sizes, the quality of the line edge roughness (LER) is observed excellent and within acceptable limits. The remaining challenges in applying MPT to 1x nm nodes are to have a realistic methodology for production worthy quality and quantity under acceptable cost. Complementary lithography (or line cutting lithography) proposed by Intel utilizes the spacer quadrupling (sidewall method) to have the base grating patterns using 193-nm exposure tools. An additional step applying cutting lithography is usually done by optical or other lithographic means. Although this method requires unidirectional constraints to the IC design, the probability of success for lithography and patterning is very high. Fabrication of the 1x-nm node using 193-nm exposure tools tends to place more stringent requirements on the overall exposure system. Not only is a very high accuracy needed in overlay and CDU at production process conditions, this is required at productivity levels that exceed normal single exposure conditions. Soichi Owa is a Nikon Fellow and leading a research team on future lithography technologies. He received his Ph.D. in physics in 1987 from the University of Tokyo. He joined Nikon Corporation in 1994, where he has been engaged in the development of leading edge exposure tools for 157nm and 193nm immersion lithography. Since 2002, he has published technical papers successfully demonstrating the feasibility of water-based immersion lithography. He is a SPIE Fellow and is serving as a program committee member of SPIE Advance Lithography and International Symposium on Lithography Extensions.

DOUBLE PATTERNING: THE GOOD THE BAD AND THE UGLY. AN EDA PERSPECTIVE. J. Andres Torres Mentor Graphic Corporation 8005 SW Boeckman Rd Wilsonville, OR USA Double patterning technologies have jumped from development groups to manufacturing teams for most sub 22nm processes. The introduction of such techniques has not been without challenges. However most of the minimum infrastructure has been put in place in time to allow design teams timely development of sub 22nm products. Yet, there are several areas of improvement that remain to be investigated and optimized. This talk addresses the minimum infrastructure needed to design a double patterning compliant design, as well as the most common challenges that design teams face when trying to implement such techniques. Data pertaining as to how design styles have been evolving and have facilitated the adoption of double patterning techniques will also be presented, as well as a look ahead of possible technologies that could be used to further reduce the number of iterations between physical design and manufacturing compliance checks. Andres Torres holds a B.S. in Chemical Engineering from the National Autonomous University of Mexico, a M.S. in Chemical Engineering from UW-Madison and a PhD degree in Electrical Engineering from the Oregon Graduate Institute. He has been investigating the interactions between manufacturing process and electronic design flows to exploit areas of design and process co-optimization that provide more predictable and manufacturable designs. He has been with Mentor Graphics Design-to-silicon division since 2001 working in the areas of Resolution Enhancement Technologies, Design for Manufacturing and Process Hardening Layout Techniques. He has published over fifty papers and holds five patents in the area of semiconductor manufacturing. He is currently the Product Lead Engineer of the Litho Friendly Design group in the Design to Silicon Division.

MULTIPLE-PATTERNING OPTIMIZATIONS FOR THE LONG RUN Andrew B. Kahng abk@ucsd.edu http://vlsicad.ucsd.edu/~abk CSE and ECE Departments UC San Diego La Jolla, CA 92093 USA Multiple-patterning lithography (MPL) has the potential to be a multi-node solution for both FEOL and BEOL patterning. MPL may also have relevance in future EUV contexts. Multiple patterning steps give rise to a number of graph coloring-centric optimizations such as pattern splitting and mask coloring assignment. Multimodal distributions of variation have implications for characterization flows and signoff analyses. Throughout the design enablement stack from design rule definition, to cell library design, to automatic place-and-route, to performance margins for signoff MPL brings the specter of disruption. Thus far, MPL enablement has naturally been biased toward transparency and expediency : flexible ( LELE ) design rules have carried the day in 20nm BEOL; cell library design still imposes relatively few demands for substantial new intelligence in placers and routers; etc. The question is whether short-term transparency and expediency will have left too much on the table, should MPL turn out to be a long-term, multi-node technology. In this talk, I will suggest some directions to investigate for recovery of missed value from MPL patterning technology in a multi-node future. Andrew B. Kahng is Professor of CSE and ECE at UC San Diego. He was visiting scientist at Cadence (1995-1997) and founder/cto at Blaze DFM (2004-2006). He has coauthored 3 books and over 350 journal and conference papers, holds 18 issued U.S. patents, and is an IEEE Fellow. He has served as international chair and co-chair of the Design working group of the International Technology Roadmap for Semiconductors since 2000. His research has developed methods for automated phase-shift mask layout, variability-aware analyses and optimizations, CMP fill synthesis, and parametric yield-driven, cost-driven methodologies for chip implementation.

MULTIPLE E-BEAM MASKLESS LITHOGRAPHY AND IMPLICATIONS ON PROCESS, DESIGN AND CAD TOOLS Jack J.H. Chen Taiwan Semiconductor Manufacturing Company Ltd. 8, Li-Hsin 6 th Rd. Hsinchu Science Park, Hsinchu 300-77, Taiwan R.O.C. The steeply increasing price and difficulty of the mask technology make the multiple e-beam maskless lithography (MEBML2) a more preferable solution than the mask-based optical lithography, such as double patterning by using ArF immersion lithography and extreme ultra-violet lithography when going beyond the 32- nm half-pitch (HP) node. [1] Electron beam direct writing provides extremely high resolution without jeopardy from masks, but the low productivity of traditional single beam systems makes it unacceptable for mass manufacturing even after over 3 decades of development. Considering production efficiency, the throughput of lithography tools should be in the order of 10 wafers per hour (WPH) per square meter of floor space as compared to that of an ArF scanner. Several groups [2][3][4][5] have proposed different MEBML2 approaches, by multiplying either Gaussian beams, variable shape beams or character projections, to drastically increase the throughput. The maturing MEMS technology and electronic control technology enable precise control of more than ten thousands or even millions of electron beamlets, writing in parallel. Without the mask constraint, the exposure can be made by continuously scanning across the entire wafer diameter as long as the ultra-high speed data rate can be supported. Hence a much slower scan speed is required and therefore a small tool footprint is achievable. The MAPPER Pre-Alpha Tool, composed of a 110-beam 5-keV column and a 300-mm wafer stage within a vacuum chamber of 1.3x1.3m 2 footprint, has been installed and operational for process development in the advanced Giga-Fab cleanroom environment. By sending the pre-treated optical data to the corresponding photodiode of each blanker, each beam writes its own features independently in raster scan mode. Resolution beyond 30-nm HP resolution for both C/H and L/S by using chemical amplified resist has been demonstrated. Applying proper e-beam proximity corrections (EPC), the 20-nm node test circuit layout has been successfully patterned. The future upgrade by a new electron-optics column, containing more than 10-thousands beamlets and each beamlet projecting 7x7 sub-beams, will achieve throughput at 10 WPH of 32-nm HP node wafers by a single chamber, and 100WPH by clustering 10 chambers. [6][7] If the productive MEBML2 carries out, it will greatly change the concepts of lithography process and design from the current double patterning for 32nm HP and beyond nodes. First, the cycle time will be largely reduced by saving mask making and reducing double patterning to single patterning for all critical layers. It has the opportunity of quickly modifying the EPC model or even directly correcting vulnerable layout hotspots when found, without the headache of retooling the expensive mask. Then owing to its high resolution, the restricted design rules due to the limitation of optical lithography or double patterning will be removed. But some new rules may be added in considerations of the writing approaches and the stitching effect among the massive beams, which may not be avoided and needs some smart ways to take good care of. The extremely high data rate, >10TB per second, requires new file format and hardware specification of the data path inside the tool. The off-line data preparation such as logic operation and EPC may become bottleneck if keeping nowadays speed. Accelerated computing solutions by software and hardware are essential.

Figure 1 The resolution test of the MAPPER Pre-Alpha tool with 25-nm spot size using CAR resist. Ignoring the resist collapse at 26- and 24-nm HP line/space, the ultimate resolution at 22-nm HP for both CH and LS has marginally been demonstrated. Further shrinking the beam size can readily resolve these pitches and even resolve smaller pitches. REFERENCES [1] Burn J. Lin, Proc. of SPIE 6520-02 (2007). [2] M. J. Wieland, G. de Boer, G. F. ten Berge, M. van Kervinck, R. Jager, J. J. M. Peijster, E. Slot, S. W. H. K. Steenbrink, T. F. Teepen, and B. J. Kampherbeek, Proc. of SPIE 76370F-1 (2010). [3] Paul Petric, Chris Bevis, Alan Brodie, Allen Carroll, Anthony Cheung, Luca Grella, Mark McCord, Henry Percy, Keith Standiford, and Marek Zywno, Proc. of SPIE, 727107 (2009). [4] Elmar Platzgummer, Proc. of SPIE 763703 (2010). [5] Matthias Slodowski, Hans-Joachim Döring, Ines A. Stolberg, and Wolfgang Dorl, Proc. of SPIE 78231J (2010). [6] S. J. Lin, W. C. Wang, P. S. Chen, C. Y. Liu, T. N. Lo, Jack J. H. Chen, Faruk Krecinic, and Burn J. Lin, Proc. SPIE 763717 (2010). [7] Jack J.H. Chen, S.J. Lin, T.Y. Fang, S.M. Chang, Faruk Krecinic, and Burn J. Lin, VLSI-TSA (2009)P.96. Jack J.H. Chen, is currently the manager of the Maskless Lithography Program in TSMC. He joined the company as a lithographer since 1995 when he received the master degree of Physics from National Taiwan University. After successfully promoting the immersion ArF lithography into production line in 2007, he was assigned to take the lead on this program. By evaluating several Multiple E-beam Direct Writing solutions in the world, his team has focused on the MAPPER technology which is the only low (5) kev solution and believed the most feasible one to achieve the 10-WPH throughput per single EO column in a reasonable cost.

E-BEAM DIRECT WRITE (EBDW) OPTIONS FOR SUB-20 NM LITHOGRAPHY: MULTIPLE TECHNOLOGY CHOICES AND THE IMPACT ON DESIGN Moshe Preil GLOBALFOUNDRIES The trend to constantly shrinking device geometries embodied by Moore s Law is well known throughout the industry. In recent years, the explosion in development and manufacturing costs with decreasing geometry size has become equally well known. For the newest lithography options below 20 nm it has been suggested that the true limitations facing the industry are economic, not technological: we can build them, but can we afford them? One key component in any cost analysis, especially for Extreme Ultra-Violet (EUV) or multiple exposures using 193 nm immersion, is the cost of the masks, not just the actual masks used to produce volume products but also the hundreds of critical masks that are consumed in research, process development, device prototyping and re-spins required for various reasons. Maskless technologies such as EBDW have often been proposed as a cost-effective alternative to the most expensive mask based options, but the painful truth is that the throughput of the best EBDW tools today is measured in wafers per day, not the many tens of wafers per hour (WPH) required for volume production. Over the years many suppliers have proposed faster EBDW tools, typically based on arrays of thousands of electron beams writing simultaneously. The leading candidates have even produced pre-alpha tools which are currently generating valuable learning. While promising, none of these options is ready for production yet. Other EBDW options have also been discussed, ranging from just a few wafers per hour to replace the large number of non-production reticles, to low tens of WPH tools to augment mask based technologies for specific patterns. One option worth discussing is printing perfect arrays of one-dimensional lines and spaces with a conventional exposure tool, possibly enhanced through self-aligned double patterning (SADP) or directed selfassembly (DSA), then using the e-beam tool simply to cut the lines. This approach can enable greatly increased throughput on EBDW tools with specific architectures, and could also be used to print contact layers at reasonable speeds. In this paper we will consider the tradeoffs between the various EBDW options under consideration, and discuss how these options impact design and layout and how EBDW aware design can greatly accelerate the adoption of these cost containment options.

Moshe Preil is the manager of Emerging Lithography and Tools, part of the Strategic Lithography Technology department at GLOBALFOUNDRIES. His group is tasked with exploring all potential tool options to extend lithography below the 14 nm node, as well as investigating strategic questions related to the 20 and 14 nm nodes currently in development. Prior to joining GLOBALFOUNDRIES he spent 15 years on the supplier side of the industry working in various lithography, process control and yield management positions, including reticle inspection, overlay and CD metrology and control, yield enhancement consulting, and advanced lithography strategies. For the preceding 12 years he was a lithography engineer at several companies, mainly AMD and Sierra Semiconductor (whose fab was an early stage predecessor to Chartered Semiconductor). His primary work in the fab involved implementing leading edge steppers and scanners for both advanced development as well as production. In his previous position at AMD he was involved in the early development of deep-uv technology. He has also been active in the Sematech lithography community, and was a member of the early 193 nm steering committee at Sematech. Dr. Preil earned his PhD in physics from the University of Pennsylvania working on optical and electron spectroscopies of novel graphite based compounds. He has published numerous journal papers, been issued 9 United States patents, and was the co-editor of the recent JM3 special issue on metrology.

E-BEAM DIRECT-WRITE AND EDA Lars Bomholt Synopsys The high resolution of E-beam lithography makes it one of the contenders at the leading edge of deep submicron lithography. Various companies are developing tools that overcome the issue of scaling e-beam direct-write lithography to the high-volume demands of mass production. What does it mean to EDA (electronic design automation)? EDA s primary objective is the creation of the designs that are transferred to the wafer. EDA also provides tools for process development, device characterization, as well as tools that correct for inadequacies of the manufacturing process and prepare the data for the lithography equipment. New lithographic technologies create challenges and opportunities in all of those areas. This talk gives an overview of the impact of e-beam direct-write lithography on EDA. The primary focus is on the infrastructure for proximity correction and data preparation, but it also touches upon related issues, such as process modeling and the impact on design. Lars Bomholt is technologist in the Silicon Engineering Group at Synopsys. His focus is semiconductor technology modeling and tools for computer-aided manufacturing. He holds a PhD in technical sciences from the Swiss Federal Institute of Technology, Zurich.

EUV LITHOGRAPHY PROSPECTS AND CHALLENGES S. Sivakumar sam.sivakumar@intel.com Phone: +1-503-613-6447 FAX : +1-503-613-7013 Portland Technology Development Intel Corporation Hillsboro, OR 97124, USA ArF lithography uses 193nm illumination to project the image of a mask containing circuit patterns on to the wafer. It has been the mainstay of leading-edge integrated circuit manufacturing technologies for several process generations. However, now the feature size and pitches that are required to be defined to continue Moore s Law scaling are proving to be outside the reach of conventional ArF lithography. In response, two leading approaches have been developed by lithographers: a) extension of ArF lithography through the use of process tricks like double patterning, and b) using EUV lithography with a lower wavelength of 13.5nm. Both approaches have their advantages and limitations and are the subject of intense research and development currently. EUV lithography brings several powerful advantages to the table it greatly simplifies layout and has the potential for simplifying design rules and limitations related to Optical Proximity Correction. It eliminates or reduces a lot of the complications that double patterning causes including layout restrictions and drawing layers require to represent the desired layout. However, the physics and chemistry of EUV lithography introduces several new concerns especially related to CD variability that are of great interest to the device and circuit designer. Moreover, EUV lithography has formidable practical engineering challenges for high volume manufacturing that are still being worked on. ArF double patterning and EUV lithography present two drastically different options for meeting the scaling needs of future process technologies. They drive dramatically different choices in design rules, patterning tradeoffs and impacts to device architecture, circuit design and layout. It is crucial for the device and circuit designer to understand what these upcoming technologies bring to the table so that in concert with the lithographer, they can make the right decisions to accommodate their advantages and disadvantages into the chip planning cycle appropriately. In this paper, the current status of EUV lithography will be examined, as well as the key advantages and disadvantages of the technique from the point of view of the device, circuit and layout. Sam Sivakumar is an Intel Fellow and Director of Lithography in Intel's Portland Technology Development Group in Oregon. He is responsible for the definition, development and deployment of Intel's next generation lithography processes, resolution enhancement techniques and optical proximity correction. Sam joined Intel in 1990 after graduating from the University of Illinois at Urbana-Champaign and throughout his career with the company has worked in the lithography area on photoresists, patterning equipment and process development. He has contributed to lithography development, characterization and transfer to highvolume manufacturing of every submicron process technology generation at Intel since 1990.

A FOUNDRY PERSPECTIVE ON EUV LITHOGRAPHY Harry J. Levinson harry.levinson@globalfoundries.com GLOBALFOUNDRIES 1050 E. Arques Ave. Sunnyvale, CA 94085 The limited extensibility of double patterning, along with design challenges and significant increases to mask set costs and cycle time, provide foundries with motivations to consider alternative lithographic technologies. One such alternative with substantial potential for extensibility is EUV lithography. Significant improvements in EUV source power will be required to bring EUV lithography costs below those of double patterning. Many other aspects of EUV lithographic technology, such as equipment reliability and stability, need to mature for effective use of EUV lithography in manufacturing. EUV resists will have adequate performance for initial use in logic, but will require improvement for later nodes. EUV mask making and handling, particular concerns for foundries, also need improvement. Fully exploiting the resolution potential of EUV lithography will require commensurate advances in metrology. Harry J. Levinson is Sr. Fellow and manager of GLOBALFOUNDRIES Strategic Lithography Technology Department, which is responsible for advanced lithographic processes and equipment. Dr. Levinson started his career at AMD, then spent some time at Sierra Semiconductor and IBM, before returning to AMD now GLOBALFOUNDRIES in 1994. He has published numerous articles on lithographic science and is the author of two books, Lithography Process Control and Principles of Lithography. He holds nearly 50 US patents. He has a BS in engineering from Cornell University and a PhD in Physics from the University of Pennsylvania.

EUV PATTERN CORRECTION FOR 56 NM METAL PITCH Martin Burkhardt IBM For the logic generations of the 15 nm node and beyond, the printing of pitches around 56 nm are needed. For EUV lithography to replace ArF-based multi-exposure techniques, it is required to print these patterns in a single exposure process. The most aggressive pitch is likely to be found on the lower metallization levels. We introduce basic imaging needs for this device generation and use an experiment of a straight shrink of a 22 nm circuit as an example. The k1 factor is roughly 0.6 for 64 nm pitch at an NA of 0.25, and k1 ~ 0.52 for 56 nm pitch. These k1 numbers are of the same order at which model based OPC was introduced in KrF and ArF lithography a decade or so earlier. In previous work for the 22 nm node test devices using EUV we used a simple threshold OPC model without further resist model calibration. For 64 nm pitch at an NA of 0.25, the OPC becomes more important, and at 56nm pitch OPC becomes necessary. For 15nm node lithography, we resort to a full resist model calibration using tools that were adapted from conventional optical lithography. We use a straight shrink 22nm test layout to assess post-opc printability of a metal layer at pitches at 64nm and 56nm, and we use this information to correct test layouts. After working on x-ray lithography at MIT, Martin Burkhardt joined Texas Instruments in 1995, where he worked on optical proximity correction and lithography simulations. From 1998 to 2001 he worked on lens aberrations and simulations at ASML in Veldhoven, before joining IBM in 2001. He has since worked on OPC and resolution enhancement techniques for advanced lithography nodes, most recently at EUV wavelengths.

EUV LITHOGRAPHY FOR 1X NM DEVICE MANUFACTURING William H Arnold EUVL lithography using high resolution step and scan systems operating at 13.5nm is being inserted in leading edge production lines for memory and logic devices. These tools use mirror optics and either laser produced plasma (LPP) or discharge produced plasma (DPP) sources along with reflective reduction masks to image circuit features. These tools show their capability to meet the challenging device requirements for imaging and overlay. Next generation scanners with resolution and overlay capability to produce 1X nm memory and logic devices are in preparation. Challenges remain for EUVL, the principal of which are increasing source power enabling high productivity, building a volume mask business encouraging rapid learning cycles, and improving resist performance so it is capable of sub 20nm resolution. For 14 years, Bill Arnold is the Chief Scientist of ASML. Since 2001 he is also the Vice President of ASML s Technology Development Center. While at ASML, he has contributed to the development of KrF, ArF, ArF immersion and now EUV scanners. Before joining ASML, he worked 18 years at AMD developing lithography and etch processes for many generations of memories and processors. In 2011, Bill was elected Vice President of SPIE, the International Society for Optics and Photonics, and in 2013 he will be the Society President.