Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Similar documents
ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Challenges of EUV masks and preliminary evaluation

Progresses in NIL Template Fabrication Naoya Hayashi

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Update on 193nm immersion exposure tool

Holistic View of Lithography for Double Patterning. Skip Miller ASML

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

R&D Status and Key Technical and Implementation Challenges for EUV HVM

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Lithography on the Edge

Optical Microlithography XXVIII

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

16nm with 193nm Immersion Lithography and Double Exposure

Mask Technology Development in Extreme-Ultraviolet Lithography

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

EUVL getting ready for volume introduction

Imaging for the next decade

Evaluation of Technology Options by Lithography Simulation

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

SEMATECH Defect Printability Studies

Lithography Industry Collaborations

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Lithography. International SEMATECH: A Focus on the Photomask Industry

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Mask magnification at the 45-nm node and beyond

Litho Metrology. Program

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Novel EUV Resist Development for Sub-14nm Half Pitch

Introduction of ADVANTEST EB Lithography System

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Nikon EUVL Development Progress Update

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

DSA and 193 immersion lithography

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Advanced Patterning Techniques for 22nm HP and beyond

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Process Optimization

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Lithography in our Connected World

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Defect inspection of imprinted 32 nm half pitch patterns

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

EUV lithography: today and tomorrow

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

From ArF Immersion to EUV Lithography

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

High-NA EUV lithography enabling Moore s law in the next decade

Changing the Approach to High Mask Costs

Next-generation DUV light source technologies for 10nm and below

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Status and challenges of EUV Lithography

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

Leadership Through Innovation Litho for the future

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

EUVL Challenges for Next Generation Devices

OPC Rectification of Random Space Patterns in 193nm Lithography

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Improving registration metrology by correlation methods based on alias-free image simulation

Multi-beam mask writer MBM-1000 for advanced mask making

The Need for Multiple Alternatives for sub-20 nm Lithography

Optical Maskless Lithography - OML

Registration performance on EUV masks using high-resolution registration metrology

(Complementary E-Beam Lithography)

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Enabling Semiconductor Innovation and Growth

OPC Scatterbars or Assist Features

Using the Normalized Image Log-Slope, part 2

2009 International Workshop on EUV Lithography

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Nikon Medium Term Management Plan

EUV Supporting Moore s Law

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Progress in full field EUV lithography program at IMEC

EUV lithography: status, future requirements and challenges

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Pellicle dimensions for high NA photomasks

Transcription:

Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd

Contents Introduction Lithography Trends Computational lithography options More Complex OPC SMO, ILT Mask challenges Mask fabrication Shot count Inspection and metrology Summary OPC: optical proximity correction SMO: source mask optimization ILT: inverse lithography technology

ITRS Lithography Solutions ~ DRAM/MPU ITRS 2011 edition First Year of IC Production 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024 2025 2026 DRAM ½ pitch (nm) (contacted) 36 32 28 25 23 20.0 17.9 15.9 14.2 12.6 11.3 10.0 8.9 8.0 7.1 6.3 MPU/ASIC Metal 1 1/2 pitch (nm) 38 32 27 24 21 18.9 16.9 15.0 13.4 11.9 10.6 9.5 8.4 7.5 7.5 7.5 45 193nm Imm 32 193 nm DP 22 EUV 193nm MP ML2 (MPU) Imprint (DRAM) 16 EUV 193nm MP ML2 Imprint DSA + litho platform 11 EUV / EUV + MP EUV (6.Xnm) ML2 Imprint Litho + DSA Innovation Narrow Options MPU / DRAM time line Narrow Options Narrow Options

ITRS Lithography Solutions ~ Flash ITRS 2011 edition First Year of IC Production 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024 2025 2026 Flash ½ Pitch (nm) (uncontacted Poly)(f) 22 20 18 17 15 14.2 13.0 11.9 10.9 10.0 8.9 8.0 8.0 8.0 8.0 8.0 32 193 nm DP 22 193 nm DP NAND Flash Time Line 16 193nm MP EUV Imprint Narrow Options 11 EUV + MP 193nm MP EUV (6.xnm) Imprint EUV + DSA Innovation Narrow Options Optical lithography extension is expected

Contents Introduction Lithography Trends Computational lithography options More Complex OPC SMO, ILT Mask challenges Mask fabrication Shot count Inspection and metrology Summary

Strong OPC, Source & Mask Optimization Complexity of Mask Optimized Area Constrained OPC Better Performance Exposure Latitude Complexity of Source Computational Lithography solutions such as SMO will be needed

Evaluation of DOF improvements with SMO Bias OPC design Hole Size (nm) 91 84 77 70 63 CP Bias OPC SO Bias OPC SO Strong OPC SO + Strong OPC : DOF=200nm CP + Strong OPC : DOF=160nm * Collaboration work with Nikon Strong OPC design SO Illumi. + Strong OPC Source 56 SO + Bias OPC : DOF=130nm 49-200 -150-100 -50 0 50 100 150 200 Focus Level (nm) Focus Level (nm) -120-90 -60-30 0 +30 +60 +90 +120 DOF = 200nm CP Illumi. + Strong OPC DOF = 160nm DOF margin was improved by SMO SO: source optimization, CP: cross pole

Details of SMO Evaluation ~ Motif patterns vs. Optimized source shapes(metal Layer)~ SRAM * Collaborative evaluation with AIST Japan Evaluation of optimized source shapes based on various target patterns. Learn the balance of optimized source shape across the pattern layout? PA PB PC PD * Motif patterns are from sparse to dense.

Details of SMO Evaluation ~ Motif patterns vs. Optimized source shapes(metal Layer)~ SRAM * Collaborative evaluation with AIST Japan Evaluation of optimized source shapes based on various target patterns. Learn the balance of optimized source shape across the pattern layout? PA PB PC PD Even within a layer, optimized source shape varies greatly

Details of SMO Evaluation ~ Motif patterns vs. Optimized source shapes(metal Layer)~ * Collaborative evaluation with AIST Japan SRAM Optimized Source Shape PA PB PC PD Optimized source shape can be obtained with wider reference points

Contents Introduction Lithography Trends Computational lithography options More Complex OPC SMO, ILT Mask challenges Mask fabrication Shot count Inspection and metrology Summary

EB Data Grid Size vs. Lithography Margin * Collaboration work with Nikon Optimum data grid balancing litho margin and mask complexity Shot # = 1 Shot # = 340x Shot # = 93x Shot # = 53x Shot # = 24x Shot # = 7x Bias OPC Free form Grid= 1 nm Grid = 4 nm Grid = 16 nm Grid = 32 nm Exposure Latitude (%) 14 12 10 8 6 4 2 Data grid vs. EL EL (%) Best Dose Shift (%) 20 15 10 5 0-5 Data grid vs. Dose shift Dose Shift Data grid vs. Dose shift 0 0.1 1 10 100 Grid Size (nm) -10 0.1 1 10 100 Grid Size (nm) < 1/10 of EB shots with optimum grid size

Shot Count Reduction Approaches conventional fracturing optimized fracturing litho-check bias MB-MDP* overlapped shots intelligent bias MB-MDP : Model-Based Mask Data Preparation litho-check EB writing check ** virtual pattern Fewer shot counts will be obtained by optimized overlapping shots

Shot Count Reduction Approaches conventional fracturing MB-MDP overlapped shots with circular shape simplifying assist features ** virtual pattern Fewer shots will be obtained by dedicated shot shapes

Trials & Examples Conventional Fracturing MB-MDP overlapped shots 1/3-1/4 features Courtesy of Overlapped fracturing reduces the shot counts with optimal effect

Mask Defect Inspection Tools Tool KLA597XR Teron617 NPI-6000 Vendor KLA-Tencor KLA-Tencor NuFlare Technology node (nm) 45-32 nm 32-22 nm 45-22 nm Wavelength (nm) 257 193 198.5 Pixel size (nm) 72 / 90 / 125 55 / 72 50 / 70 / 92 Performance Min. sense. (nm) 36 30 30 Advanced inspection systems must be adopted

Printability Metrology Tool ~ AIMS32 Tool AIMS45 AIMS32 Vendor Carl Zeiss Carl Zeiss Technology node (nm) 90-32 nm 90-22 nm Wavelength (nm) 193 193 Illumination numbers 24 100 Measurement repeat. (3σ, nm@wafer) 2 0.5 Stage accuracy (nm) < 2000 < 150 TAT (stack/hrs) 40 120 Wafer level CD application No Yes SMO application No Yes Advanced printability evaluation tool will be needed

Summary ArF lithography will be extended with computational lithography technologies Further optimization of SMO may be needed Mask data is becoming more complex and intensive Successful trials are underway using overlapped shots with MB-MDP Mask defect inspection and printability metrology tools for computational lithography mask have been evaluated More close collaboration needed for future work among mask suppliers, mask users, and related tool suppliers

Acknowledgement Nikon for collaboration work on SMO evaluation D2S for overlapped shot trial K. Kadota of AIST for SMO evaluation, and the part of work was supported by NEDO E. Tsujimoto, and K. Hayano of DNP for providing evaluation data, and N. Toyama of DNP for shot reduction approach