Lecture 10. Circuit Pitfalls

Similar documents
Lecture 9: Clocking for High Performance Processors

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

EE434 ASIC & Digital Systems

High-speed Serial Interface

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

Digital Systems Power, Speed and Packages II CMPE 650

ECEN720: High-Speed Links Circuits and Systems Spring 2017

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

! Review: Sequential MOS Logic. " SR Latch. " D-Latch. ! Timing Hazards. ! Dynamic Logic. " Domino Logic. ! Charge Sharing Setup.

EEC 118 Lecture #12: Dynamic Logic

ECEN 720 High-Speed Links Circuits and Systems

Lecture 9: Cell Design Issues

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

ECEN 720 High-Speed Links: Circuits and Systems

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

CMOS Digital Integrated Circuits Analysis and Design

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

Advanced Digital Design

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

RECENT technology trends have lead to an increase in

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

We ve looked at timing issues in combinational logic Let s now examine timing issues we must deal with in sequential circuits

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Comparison of Power Dissipation in inverter using SVL Techniques

Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches

Lecture 19: Design for Skew

Lecture 11: Clocking

Lecture 17. Low Power Circuits and Power Delivery

Incorporating Variability into Design

Power-Area trade-off for Different CMOS Design Technologies

Low-Power Digital CMOS Design: A Survey

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

Power Spring /7/05 L11 Power 1

DESIGN TIP DT Managing Transients in Control IC Driven Power Stages 2. PARASITIC ELEMENTS OF THE BRIDGE CIRCUIT 1. CONTROL IC PRODUCT RANGE

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Leakage Current Analysis

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Lecture 13: Interconnects in CMOS Technology

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

EECS 427 Lecture 21: Design for Test (DFT) Reminders

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

Advanced Digital Design

Advanced Digital Design

Processor Power and Power Reduction

EECS 427 Lecture 22: Low and Multiple-Vdd Design

A Novel Low-Power Scan Design Technique Using Supply Gating

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS RF Integrated Circuits Design

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

The challenges of low power design Karen Yorav

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

Fan in: The number of inputs of a logic gate can handle.

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

VLSI Design I; A. Milenkovic 1

19. Design for Low Power

Integrated Power Delivery for High Performance Server Based Microprocessors

Microcircuit Electrical Issues

Interconnect-Power Dissipation in a Microprocessor

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications

Domino Static Gates Final Design Report

Guaranteeing Silicon Performance with FPGA Timing Models

UNIT-II LOW POWER VLSI DESIGN APPROACHES

Low Power Design. Prof. MacDonald

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

INF3430 Clock and Synchronization

Low Transistor Variability The Key to Energy Efficient ICs

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8

A Survey of the Low Power Design Techniques at the Circuit Level

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

EE273 Lecture 5 Noise Part 2 Signal Return Crosstalk, Inter-Symbol Interference, Managing Noise

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks

A Low-Power SRAM Design Using Quiet-Bitline Architecture

DESIGNING powerful and versatile computing systems is

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Transcription:

Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski Dynamic Logic and Latches (talk version of Chapter 8) Introduction The basic components on a chip are quite simple, transistors and wires. The key point to remember is that wires are a critical part of your design, and need to planned for and simulated like the transistors. Thus the next level up from transistors should be more than a schematic; it should be a schematic and a floorplan.designer optimize a circuit to improve its speed, power, or area. Improving these parameters often makes the circuit more complex, which needs to be managed too. The reason circuit designers then to use simple circuits, is that they are more robust than the fancy designs you read about in journals. This lecture looks at some of the things that can (and have) gone wrong in ICs. Some of quite simple while others are extremely subtle but they all cause the chip to fail. 2

Cost of Making Mistakes Design Stage Initial Design Design Review Layout Assembly / Tapeout A-step Silicon Sampling Volume Production Approx. Cost $10 $100 $1000 $10,000 $100,000 $1,000,000 $10M to $500M Effort Involved 5 minute fix 1 hour re-work 10 hours schematic, layout, simulation 50 hours rework, validation, re-stream 200 hours debug/fix, equip costs, new stepping Delay product launch Product Recall 3 Complexity (Why use one transistor, when ten will do) Why use complex circuits? Generally forced into needing them since the simple circuits don t make the spec Usually in the critical part of the design. (If it isn t critical, why not use the simple solution) Fighting t = C V/i Designers must choose their risks carefully Therefore, designers become fairly conservative Need to validate their design over all corners, and chip environments 4

Simulation Mismatch Often stupid stuff but the errors still means the chip fails: Chip had two node phi1 and Phi1 There were not connected together on the chip Extractor did not complain (different nodes) Simulator connected them (case insensitive) (it worked fine) Now have a tool to check for this Use a logic simulator to simulate chip There was a mux which sometimes had both selects high During this cycle the output of the mux did not matter Modeled the Mux as a logic element, but the TG is bidirectional Corrupted one of the inputs, which was needed Write checkers for this during logic simulation 5 Discounting False Paths In the real world, voltages are analog. This is almost never a problem But there once was a chip that had a long false path to the memory In this case the decoder output did not settle in time (for the latch) The memory was not used in this case, so the address did not matter But the decoder outputs settled at different times, so for this long path, it was possible for multiple decoder outputs to be high This caused multiple wordlines to go high, which caused one of the locations being read to be written (corrupting real data) 6

Races Definition: signals starting from the same generation point, A, must arrive at a receiving point, B, in a specific temporal order Generation point must be both geographically and temporally the same Temporal component distinguishes a race from a speedpath Need margin in each signal to ensure correct temporal arrival times Hi Frequency Race path 1 Race path 2 Margin Speed path 1 Speed path 2 Margin Lo Frequency Race path 1 Race path 2 Margin Speed path 2 Speed path 1 Margin 7 Functional Race Causes circuit to produce incorrect results #1 concern with most race conditions T dmin > T hold + T skew T c-q Typically use very conservative estimate for T skew Assume both clock AND delay variance Latch Latch Data N1 N2 D Q D Q N1 N2 Error! 8

Power Race Causes circuit to burn excessive power Does not necessarily cause a functional or circuit failure T dmin > T hold + T skew T c-q Estimation of T skew depends on design constraints Power constrained designs need conservative estimates Current N1 N2 N1 N2 Contention 9 Pulse Evaporation Pulse generation is typically a race condition Need to ensure that pulse is wide and tall enough to perform work T pw > T work + T skew T pw > (T rise / 2) + (T fall / 2) + T skew, assuming T pw is measured at Vdd/2 Pulse evaporation usually results in a functional failure Requires conservative pulse design Usually need a pulse at least 3 FO4 to survive (4 is better) P1 P2 P1 P2 P2 Width problem Height problem 10

Calculating Race Margins (T skew-margin ) Skew exists in both the clock path AND the data path T skew-margin has to take both into account (be careful with T skew terminology) Point-of-divergence (POD) Calculate total loop length of racing signals Take percentage of loop length as the T skew-margin Statistical More accurate, harder to calculate Random variances add statistically (T skew-margin 2 = σt 1 2 + σt 2 2 + σt 3 2 ) Correlated variances add algebraically (T skew-margin = σt 1 + σt 2 + σt 3 ) T d1 Latch Latch Data N1 N2 D Q D Q T skew-margin = (T d1 + T d2 ) * α, where α is usually 0.08-0.15 POD T d2 11 Charge-Sharing Domino Classic charge-sharing problem; fix with secondary precharge devices Pass-gate Watch out for poorly driven nodes, memory cells, sequentials Static CMOS Plain vanilla CMOS is still susceptible to charge-sharing! Glitches can cause downstream failures Domino CLK_b Master-Slave FF CLK 12

Capacitive Wire Coupling Can cause both functional and speed failures Signals can capacitively couple side-to-side and above/below Important elements Victim driver strength (Rdrive and Rline) Attacker slope (dv/dt) Switching cap vs. Quiet cap Attacker switching window relative to Victim Use superposition to model multiple attackers Attackers of attackers can have impact on victim nets.. dv/dt C x R line Attacker Victim For quiet victim: -dt R V peak = (R tot ) * C x * dv * (1 e tot *C tot ) dt R dvr C g As Rtot or dt : V peak = C x * dv C tot 13 Wire Coupling and Passgates Typically only worry about coupling between Vdd and Vss Noise induced causes downstream circuits to behave poorly Passgate inputs susceptible to coupling outside supply rails Coupling above Vdd or below Vss can create Vgs > Vth Coupling below Vss Clk Data N1 Clk Data N1 Storage node loses state! 14

Vss Reference (Gnd Bounce) Margins are much smaller in a precharge gate Switch point is just Vth above Gnd But Gnd on a chip is not the same With Amps of current running through supply can get Gnd drops This can make the margin on a gate even smaller Gates with keepers have slightly higher margins Often require inputs to dynamic logic be locally generated 15 Current Sources Ground drops area a real problem with making current sources: Current sources tend to be used in the analog section of the circuit These circuits use DC power Tends to want its own (special clean) supply so it does not use grid Its supply must be routed Gain of current sources tends to be high (30mV can be significant) Also watch for Vbb noise changing currents If you need too distribute currents a far distance, pass a current and then go though a mirror 16

Clock Power Supply Clean power supply for PLL Needed to generate low jitter, even duty cycle output Usually separate supply from Vcc to keep it clean Power supply choice for clock distribution? Clean power supply provides perfect duty cycle, low jitter Core power supply provides better tracking with logic Usually tracking with logic is most important PLL Vcca/Vssa (clean)????? Vcc/Vss (noisy) 17 Contention Circuits Rely on sizing and ratios to work correctly Need account for process, voltage and temperature (PVT) variance Correct path must always win the fight for correct operation Temporary contention circuits Jam latches, memory writes Permanent contention circuits Pseudo-NMOS, ratioed logic Clk Data Data Clk A B Out 18

Leakage Becoming (has become) a major issue in design Accounts for 10-20% of 130nm processor dissipated power Leakage grows 2-4x per generation Circuit operation needs to account for leakage Storage nodes are especially susceptible to leakage Domino outputs are treated as storage nodes Sustainer sizes need to be large enough to fight leakage effects Typically results in performance degradation due to contention fights Increasing channel length reduces S-D leakage Exponential reduction in leakage Stacked devices help reduce S-D leakage Strong dependence on Vds 19 Why do circuits fail? Overriding tool warnings or errors Poor accounting for parasitics Poor accounting for worst case stimulus Poor accounting for noise sources Poor accounting for variability in process, voltage, temperature Tool bugs Invalid assumptions 20

Simulating for Success All noise sources accounted for Include model for capacitive coupling Accurate wire model for both attacker and receiver Side-loads Propagated noise from prior stage Supply noise Correct simulation stimulus Source worst case initial voltages Active drivers for all switching signals Active loads for circuit under test Simulate across worst corner(s) Model changes in PVT 21