Case Study Package Design & SI/PI analysis

Similar documents
Caliber Interconnect Solutions

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

System Co-design and optimization for high performance and low power SoC s

through Electrical Performance Assessment Principal AE Aug

Relationship Between Signal Integrity and EMC

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Signal Integrity Modeling and Simulation for IC/Package Co-Design

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

PI3DPX1207B Layout Guideline. Table of Contents. 1 Layout Design Guideline Power and GROUND High-speed Signal Routing...

Intro. to PDN Planning PCB Stackup Technology Series

PDS Impact for DDR Low Cost Design

EMI Reduction on an Automotive Microcontroller

PCB Routing Guidelines for Signal Integrity and Power Integrity

Microcircuit Electrical Issues

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney

NextGIn( Connec&on'to'the'Next'Level' Application note // DRAFT Fan-out 0,50mm stapitch BGA using VeCS. Joan Tourné NextGIn Technology BV

Effect of Power Noise on Multi-Gigabit Serial Links

PDN design and analysis methodology in SI&PI codesign

Introduction to EMI/EMC Challenges and Their Solution

Automotive PCB SI and PI analysis

EMI/EMC of Entire Automotive Vehicles and Critical PCB s. Makoto Suzuki Ansoft Corporation

User2User The 2007 Mentor Graphics International User Conference

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

March 6-9, 2016 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive- Session 4

Why do we need to study Signal Integrity, Power Integrity and EMI ALL-AT-ONCE?

Signal Integrity Design of TSV-Based 3D IC

CPS-1848 PCB Design Application Note

Introduction to Board Level Simulation and the PCB Design Process

FPGA World Conference Stockholm 08 September John Steinar Johnsen -Josse- Senior Technical Advisor

Split Planes in Multilayer PCBs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Silicon Interposers enable high performance capacitors

Intel 82566/82562V Layout Checklist (version 1.0)

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE Device

Closing the loop part 1: Why use simulation tools for high speed signal channel design?

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

EMI. Chris Herrick. Applications Engineer

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

Texas Instruments DisplayPort Design Guide

SIGNAL INTEGRITY ANALYSIS AND MODELING

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

Chapter 16 PCB Layout and Stackup

Multilayer PCB Stackup Planning

AN-1370 APPLICATION NOTE

CMT2300AW Schematic and PCB Layout Design Guideline

Power integrity is more than decoupling capacitors The Power Integrity Ecosystem. Keysight HSD Seminar Mastering SI & PI Design

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products

Engineering the Power Delivery Network

Differential Pair Routing

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

Quick guide to Power. V1.2.1 July 29 th 2013

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

Sherlock Solder Models

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

Design Guide for High-Speed Controlled Impedance Circuit Boards

Demystifying Vias in High-Speed PCB Design

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

Decoupling capacitor placement

High-Speed Differential Interconnection Design for Flip-Chip BGA Packages

A Simulation Study of Simultaneous Switching Noise

A Co-design Methodology of Signal Integrity and Power Integrity

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

Learning the Curve BEYOND DESIGN. by Barry Olney

EMC for Printed Circuit Boards

True Differential IBIS model for SerDes Analog Buffer

The amout, type and position definition and optimization of decoupling capacitors which depend on the resonance hot spot position,

Overcoming the Challenges of HDI Design

Design Services Capability WiWo Tech Systems Pvt Ltd

Webinar: Suppressing BGAs and/or multiple DC rails Keith Armstrong. 1of 5

The Challenges of Differential Bus Design

AMCHIP5 characterization tests

QPI-AN1 GENERAL APPLICATION NOTE QPI FAMILY BUS SUPPLY QPI CONVERTER

!"#$"%&' ()#*+,-+.&/0(

Touchstone v2.0 SI/PI S- Parameter Models for Simultaneous Switching Noise (SSN) Analysis of DDR4 Memory Interface Applications.

Enabling Parallel Testing at Sort for High Power Products

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix

ECE 546 Introduction

1 Introduction External Component Requirements AC Coupling Capacitors on high speed lanes... 2

Decoupling capacitor uses and selection

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site :

Vishram S. Pandit, Intel Corporation (916) ]

100 Gb/s: The High Speed Connectivity Race is On

AN 766: Intel Stratix 10 Devices, High Speed Signal Interface Layout Design Guideline

TRINAT Amplifier-Shaper for Silicon Detector (TASS)

Myoung Joon Choi, Vishram S. Pandit Intel Corp.

High Speed Characterization Report

The 3D Silicon Leader

Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: February 22, 2005

Transcription:

Caliber Interconnect Solutions Design for perfection Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions (Pvt) Ltd No 6,1 st Street Gandhi Nagar, Kavundampalayam, Coimbatore-30. Tamil Nadu, India. www.caliberinterconnects.com 1

Mission & Vision Mission Vision Provide dependable solutions to the satisfaction of the customers through intensive R&D and proven quality control procedures using disciplined workforce. Developing and applying technological solutions to the benefits of the society that will not affect the safety and living standards of our future generations. Quality Policy CALIBER INTERCONNECT SOLUTIONS PRIVATE LIMITED is committed to meet and exceed customers expectations through timely delivery of cost effective quality designs through ever improving process and team work. 2

Profile Leading provider of high speed design and analysis services for Probe Cards System in Packages (SiP) Multi Layer Package Designs and Layouts Multi Chip Modules(MCMs) MLO/MLC Substrate Designs Highly Skilled Design/Analysis and Layout Team More than 200+ package designs successfully completed Organic build up from 1-2-1 to 8-2-8 layers Low Cost 4 layer Laminates Multi layer Ceramic designs Experience in wirebond and flip chip design and layout techniques Chip Scale Package designs Cost Effective and Timely Job completion - Lead Time 1.5 weeks to 3 weeks from frozen netlist based on complexity 3

Design Flow Layout Setup Cross section Definition Die Generation BGA generation Constraint Setup Flipchip Wirebond 4

Design Flow Flipchip Wirebond Die and BGA Fanout Bond Finger & Ring creation Core area definition IO routing and Ball Assignment Wire bonding IO routing and Ball Assignment Power and GND Planes Power and GND Planes Degaussing & stitching via addition Plating tails addition A 5

Design Flow A Check for connectivity Adding Fiducials & Fab notes Gerber generation DFM Validation Final files to customer 6

Design Examples 7

FC Sample Case-1 Die Pin Count: 5043 die pins Bump Pitch: 180um Package size: 33x33 mm BGA array: 32x32 with 1 mm pitch Key Design attributes 196 Ios with different interfaces like DDR, SerDes and LVDS All the signals routed in 2 layers and SerDes Tx and RX signals routed in different layers Solid GND plane provided as reference for SerDes & Power planes for LVDS and DDR signals Stitching vias added for SerDes with equal spacing between vias from start to end of the routing 2 critical power pins spread out equally in core area of the Die and fanout of core area was challenging and 0201 capacitors added in Die side for critical power Length matching done as per the skew given Layers used 4-2-4 structure (BU-C-BU) Lead Time 2.5 weeks 8

FC Sample Case-1 9

FC Sample Case-2 Die Pin Count: 3302 die pins Bump Pitch: 180um Package size: 45x45 mm BGA array: 44x44 with 1 mm pitch Key Design attributes 77 signals of 50 ohms, 85 differential pairs in HT section and 243 pairs in Analog section All the pairs are routed in 2 layers and maximum spacing maintained between the pairs to allow GND flow between the pairs Stitching vias added between the pairs with equal spacing from start to end of the routing For each power and GND balls in core area, maximum number of vias added to improve connection path between Die and BGA Differential pair P and N balls have given a common large oval void area to avoid sharp corners. HT signals are matched within 7ps tolerance Layers used 4-2-4 structure (BU-C-BU) & Lead Time 2 weeks 10

FC Sample Case-2 11

FC Sample Case-2 Power Layer 12

FC Sample Case-3 Die Pin Count: 1816 die pins Bump Pitch: 210 um Package size: 35x35 mm BGA array: 34x34 with 1 mm pitch Key Design attributes Design has different interfaces like DDR,XUAI, RGMII and PCIe TX and RX nets of XUAI and PCIe are routed in different layers DDR nets are routed as groups with 8 data bits and its strobe pair in the middle of each byte DDR signals are routed as byte with respect to their banks and length matched according to the skew requirements. XAUI, RGMII and PCIe Section also matched as per their skew requirements All the signals are routed with tight spacing and enough shielding was provided for all diff pairs For each power and GND balls in core area, 6 micro vias added to improve PDN performance Layers used 3-2-3 structure (BU-C-BU) & Lead Time 2.5 weeks 13

FC Sample Case-3 14

FC Sample Case-4 Die Pin Count: DIE1-2335 pins, DIE2-692 Bump Pitch: DIE1-400 um, DIE2 180um Package size: CBGA 25x25 mm BGA array: 24x24 with 1 mm pitch Key Design attributes Multi chip flip chip design with 2 DIE in a single substrate One die is analog & another is digital & connectivity achieved between 2 DIE using diff pairs 192 differential pairs in digital section and 188 pairs in analog section Design consists of XAUI and GPIO Section and they are routed per their routing specification. Analog and digital power/gnds are properly planned and plane pouring is done without interference of each other Core area of Digital DIE have different powers and achieving connectivity was difficult task Layers used 12 layers and Lead Time 3 weeks 15

FC Sample Case-4 16

FC Sample Case-5 Die Pin Count: 1923 Die pins Bump Pitch: 169 um Package size: 21x21 mm BGA array: 25x25 with 0.8 mm pitch Key Design attributes Design has different interfaces like DDR3,GMII, PCIe, SPI, QSPI, USB Differential Pairs are routed such that the intra-pair skew is <=2ps. CLK signals surrounded by GND shielding traces and stitching vias. GND vias are present as near as possible to the end of each signal to provide return path. Differential traces and critical signal traces are not routed above or below degassing holes. Core power and GND vias are placed wherever possible underneath the die to minimize voltage drop. Core area fanout with different powers and achieving connectivity was difficult task. Layers used 4 layers & Lead time-1.5 weeks 17

FC Sample Case-5 COMPLEX CORE AREA 18

FC Sample Case-6 Die Pin Count: 6355 Die pins Bump Pitch: 148 um Package size: 37.5x37.5 mm BGA array: 36x36 with 1.0 mm pitch Key Design attributes Design has different interfaces like DDR3,I2C, PCIe, and few other high speed signals 551 Ios and among them 294 are differential pair signals. More than 2x spacing maintained between the signals outside of die area All the high speed signals and differential pairs are routed with 3x spacing from other IOs. Signals are routed carefully such that each group of signals are given its corresponding power as reference in the adjacent layer. BGA fanout pattern was created in such a way to avoid sharp edges in the shapes Layers used 10 layers & Lead time - 2 weeks 19

FC Sample Case-6 20

WB Sample Case-1 Die Pin Count: 689 die pins in 2 rows Package size: 31x31 mm BGA array: 1289 ball pins with 1 mm pitch Key Design attributes 241 Ios with different interfaces like DDR,GPIO,I2C,SPI,USB and others Differential pairs are routed with 5x spacing to other signals GND shielding traces added on both sides of critical signals Each group of signals is routed with its corresponding GND reference in GND layer Power rings created for 4 powers and 2 GND. Layers used 4 layers Lead Time 1.5 weeks 21

WB Sample Case-1 22

WB Sample Case-2 Die Pin Count: 292 die pins Package size: 27x27 mm BGA array: 484 pin perimeter matrix BGA with 1 mm pitch Key Design attributes 316 Ios with different interfaces like DDR,GMII,MII,GPIO and other interfaces Routing done in any angle trace to reduce length and to increase space for routing all signals in Top layer TX and RX pairs are routed with their respective GND shielding traces on both sides of the pairs and the same GND is given as reference in immediate next layer Due to spacing constraints, 2 nd row of bond finger is created for few signals and connected to nearest ball pin. Power ring created for 3 different powers and vias are connected to its power plane. Plating tails added for all nets Layers used 4 layers and Lead Time 2 weeks 23

WB Sample Case-2 24

WB Sample Case-3 Die Pin Count: 365 die pins Package size: 21x21 mm BGA array: 365 pin perimeter matrix HSBGA with 1 mm pitch Key Design attributes 226 Ios with Analog, Digital and HDMI section and among them 4 are differential pairs in HDMI All the signals are routed in Top layer with its respective GND as reference Staggered Bond finger pattern created for signals and few power and GND pins 4 Power rings created for 5 powers and 3 GND nets. Sunray pattern added to core ground ring Plating tails added for all nets Fiducials placed as per requirement and connected to GND Layers used 4 layers Lead Time 2 weeks 25

WB Sample Case-3 26

WB Sample Case-3 27

PACKAGE SI & PI SIMULATION 28

SIMULATION CAPABILITES Pre-layout Analysis - Define Specification & Stack-Up Verification - Length tolerance, Impedance, etc - Can model diffpair and SE signals separately for loss analysis - Can analyze crosstalk for different spacing Post-layout Analysis - S-parameter and TDR analysis - Reflection analysis and Xtalk - Eye diagram and BER calculation - RLC parasitic Calculation - Crosstalk Checking - BUS simulation for timing budget calculation 29

SIGNAL INTEGRITY CO-DESIGN SIGNAL INTEGRITY POWER INTEGRITY EMI/EMC Minimize-cost & Maximize Performance 30

SERVICES Signal Integrity Power Integrity Pre layout & Post layout Serial & parallel interface (DDR 2/3/4, gigabit-serdes) Co-design (IC/package/board) IBIS/IBIS-AMI based system SI Crosstalk, channel loss, s-parameter, eye-diagram, timing analysis DC Analysis (IR drop, voltage/current distribution, density plots) AC Analysis ( PDN impedance analysis, target impedance optimization, Transient noise estimation) Decoupling cap analysis & optimization EMI/EMC EMI Radiation EMI Susceptibility Compliances to various EMI standards during design phase Simulation Tools Cadence Sigrity PowerDC, PowerSI, Optimize PI, Xtract IM Ansys HFSS, Siwave, Designer Agilent ADS 31

PACKAGE DESIGN CASE ANALYSIS Flip chip design with 5043 die pins. 32x32 (1024 BGA pins) BGA array with 1 mm pitch. Layers used : 4-2-4 structure (BU-C-BU) using high frequent dielectric materials like ABF-GX13 prepreg and E700GR core materials. Copper material is used. Critical power net VDD : 1.5V, 5A This design high frequency SERDES signals like Group Net name Freq. SerDes CPRI_SERDES_RXP0,CPRI_SERDES_RXN0 5.0688 GHZ SerDes CPRI_SERDES_RXP1,CPRI_SERDES_RXN1 5.0688 GHZ SerDes CPRI_SERDES_TXP0,CPRI_SERDES_TXN0 5.0688 GHZ SerDes CPRI_SERDES_TXP1,CPRI_SERDES_TXN1 5.0688 GHZ 32

PACKAGE DESIGN CASE ANALYSIS S-Parameter Analysis for 5.06 GHz SERDES channel HFSS 3Dmodel & Insertion Loss 33

PACKAGE DESIGN CASE ANALYSIS S-Parameter Analysis for 5.06 GHz SERDES channel HFSS 3D model & Return Loss 34

S-PARAMETER SIMULATION 10 Layers Package design. 3302 DIE pins & 1935 BGA pins Insertion & Return loss calculation up to 10GHz using ANSYS Siwave tool. 35

RLC Extraction & IBIS pin model 2354 DIE pins & 400 BGA pins. Extracting Net based RLC values. Pin model with RLC values for the IBIS model. Tool used XTRACTPI 36

POWER INTEGRITY ANALYSIS IR drop analysis to find voltage drop for plane and pins and to find the hot spot areas Power/GND Impedance profile analysis The target impedance is useful for sizing the amount of capacitance necessary at each level of assembly to store sufficient charge and energy for the load. De-coupling Capacitors Estimation and Optimization It is necessary to analyze and optimize the exact number and values of capacitors needed in order to achieve the desired PDN Power Plane RLC Proper control in the plane RLC value gives good power impedance with less IR drop. 37

PACKAGE DESIGN CASE ANALYSIS CASE1 : DC Analysis Block Diagram Result 38

PACKAGE DESIGN CASE ANALYSIS Voltage distribution of VDD, VDDA & VDDHT Power nets DDRVDDQ Voltage distribution plot 39

PACKAGE DESIGN CASE ANALYSIS Current density of VDD, VDDA & VDDHT Power nets 40

PACKAGE DESIGN CASE Z11 analysis for VDD power net ANALYSIS 41

DECAP OPTIMIZATION 10 Layers Package design. 2550 DIE pins & 1088 BGA pins. Finding the optimized Decap scheme for obtaining the least impedance of a power net. Simulated using the PiAdvisor option in the ANSYS Siwave tool 42

Geographical Location Headquarters at Coimbatore, Tamil Nadu. Southern part of India. - Near to Bangalore & Chennai - 2nd best industrial city in Tamil Nadu Development Centers at Bangalore & Chennai Sales Office at USA & Japan 43

Contact Details M/S No.6, 1 st Street, Gandhi Nagar Kavundampalayam, Coimbatore - 30, TN, India. Phone: 91 422 2448557. info@caliberinterconnect.com www.caliberinterconnects.com 44

Our Locations Coimbatore No 6, 1 st Street, Gandhi Nagar Kavundampalayam, Coimbatore - 641030, Tamilnadu, India. Directline: +91 422 2448557 Fax: +91 422 2448557 Chennai 157, First Floor, 10th Cross Street, C.L.R.I. Nagar, Neelankarai, Chennai - 600041, Tamilnadu, India. Phone:+91 82200 45099 Bangalore No.362,12 th Main Road, Hosur Sarjapur Road, Sector-5, HSR Layout, Bangalore 560 103, Karnataka, India. Directline: +91 80 25535420 JAPAN Mr.Kimiaki Tanaka, 1-12-15 Ogikubo, Suginamiku, Tokyo 167-0051, Japan, Phone: +81-3-6321-8051 USA 4647, Carmen Ct, Union City-94857, California,USA Phone: 510-709-0750 45

Thank You!! Contact us sales@caliberinterconnect.com Visit us at www.caliberinterconnects.com 46