DSA and 193 immersion lithography

Similar documents
Holistic View of Lithography for Double Patterning. Skip Miller ASML

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

(Complementary E-Beam Lithography)

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Lithography on the Edge

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

Advanced Patterning Techniques for 22nm HP and beyond

Process Optimization

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

EUVL getting ready for volume introduction

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Mask Technology Development in Extreme-Ultraviolet Lithography

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

High-NA EUV lithography enabling Moore s law in the next decade

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Design Rules for Silicon Photonics Prototyping

R&D Status and Key Technical and Implementation Challenges for EUV HVM

From ArF Immersion to EUV Lithography

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Metrology in the context of holistic Lithography

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Toward 5nm node ; Untoward Scaling with Multi-patterning

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Update on 193nm immersion exposure tool

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Progresses in NIL Template Fabrication Naoya Hayashi

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Imaging for the next decade

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Competitive in Mainstream Products

Optical Microlithography XXVIII

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

EUVL: Challenges to Manufacturing Insertion

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Critical issue of non-topcoat resist for ultra low k 1 lithography

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Nikon F2 Exposure Tool

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Lecture 5. Optical Lithography

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

EUVL Challenges for Next Generation Devices

Introduction of ADVANTEST EB Lithography System

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Scope and Limit of Lithography to the End of Moore s Law

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

EUV lithography: status, future requirements and challenges

Nikon EUVL Development Progress Update

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Novel EUV Resist Development for Sub-14nm Half Pitch

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

EUV Lithography Transition from Research to Commercialization

Litho Metrology. Program

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Optical Maskless Lithography (OML) Project Status

What s So Hard About Lithography?

Development of Nanoimprint Mold Using JBX-9300FS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Evaluation of Technology Options by Lithography Simulation

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

In-line focus monitoring and fast determination of best focus using scatterometry

EUV Supporting Moore s Law

Fundamental Aspect of Photosensitized Chemically Amplified Resist How to overcome RLS trade-off

The Need for Multiple Alternatives for sub-20 nm Lithography

2009 International Workshop on EUV Lithography

Next-generation DUV light source technologies for 10nm and below

Key Photolithographic Outputs

MICRO AND NANOPROCESSING TECHNOLOGIES

Lecture 8. Microlithography

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

16nm with 193nm Immersion Lithography and Double Exposure

Feature-level Compensation & Control

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

EUV Light Source The Path to HVM Scalability in Practice

Transcription:

NIKON RESEARCH CORP. OF AMERICA DSA and 193 immersion lithography Steve Renwick Senior Research Scientist, Imaging Sol ns Technology Development

Where the industry wants to go 2

Where we are now 193i e-beam EUV 3

193i optical lithography: pushing the limit 500 400 300 200 150 Rayleigh limit CD (nm) 100 70 50 40 30 20 Working limit k 1 gap 1990 1995 2000 2005 2010 2015 Year of Introduction 4

DSA: Who ordered that? 5

Block copolymers, or magic spaghetti Stringlike molecules with A and B segments that repel each other A B f = length of A portion 1- f = length of B portion = Strength of repulsion between A and B monomers N = # monomer units Courtesy of G. Gallatin, NIST Bates, Fredrickson Physics Today 1999 6

Self Assembly Cylindrical phase oriented parallel to the wafer surface Undirected Directed Straight Edge Different annealing schedules Black, et. al., IBM J. Res. & Dev. 2007 Straight Edge Hammond, Kramer Macromolecules 2006 It wants to form structures that we like. Photos courtesy of G. Gallatin, NIST 7

DSA and 193i litho: a new team The scanner provides the support: CD Control Flexible focus and dose control Overlay Fast wafer handling Through the magic of chemistry... DSA provides the small size. 8

Directing the self-assembly In each case, a guide structure is printed with 193i litho. The rest is chemistry... Graphoepitaxy: building a physical fence expose guide coat anneal etch Chemoepitaxy: painting chemical stripes expose/etch guide coat anneal etch 9

Resolution (L/S) Univ. Wisconsin, chemoepitaxy approach: Positive-tone resist used to expose guide patterns. Coating and etching to produce stripes used for directing the polymers. 12.5 nm L/S 200 nm DoF and 40% EL Chemical process teamed with 193i litho produces 12.5 nm L/S with excellent process latitude Rathsack et al., AdvLitho 2012, Proc SPIE 8323 10

Resolution cont d (contacts) TEL Japan on a Nikon S610 scanner: Negative-tone prepatterning 87 nm guide patterns minimized missing-hole effect Note the healing effect: CDU and CER were reduced. Rathsack et al., AdvLitho 2012, Proc SPIE 8323 The guide structure resolution is well within 193i capability 11

Process control Focus & dose control Does the DSA process impose special requirements on the scanner? Some processes very sensitive: Graphoepitaxial process 3% exposure latitude @ ~50 mj/cm 2 Some are not: Chemoepitaxial process Process window: 13.5 to 16.5 mj/cm 2 dose (25% @ best focus) 140 to 270 nm focus (130 nm @ best dose) Some sensitive DSA processes exist... 12

Existing focus and dose control S621D focus uniformity Focus control: 12.2 nm 3 across a wafer About 10 nm full range drift over several days +50 nm -50 nm Dose control: typically ±1% or less.... but the scanner is already designed for sensitive processes 13

Process tuning capability already exists CDU Master compensates for process-induced errors 57 nm 47 nm Inter field : 1.2 nm(3 ) Intra field : 2.1 nm(3 ) Inter field : 0.9 nm(3 ) Intra field : 0.6 nm(3 )... even to the point of compensating for them. 14

Defectivity Immersion-induced defects have been a challenge for the industry. Will that happen again? Studies with deliberate defects show that the DSA process is selfhealing to a point: Guide pattern Guide pattern Somervell et al., Advances in Resist 2012, Proc SPIE 8325 15

Existing defectivity Immersion-induced defects have been steadily reduced Defect Count [/wafer] 15.0 12.0 9.0 6.0 3.0 0.0 previous generation S621D 55 60 65 70 75 80 D-RCA* [deg] 0.025 0.02 0.015 0.01 0.005 0 Defect Density [/cm 2 ] *Dynamic receding contact angle Defectivity should continue to drop with DSA. 16

Overlay overlay DSA can only print: Contact holes Equal L/S gratings. What if you want something else? DSA processes will need associated trim masks. Additional overlay requirements. trim mask 17

Back-of-the-envelope analysis Use an aerial image to burn off a resist feature... 28 nm allowed overlay error 2-3 nm 18

Existing overlay The Streamlign platform is already meant for double patterning. Single-machine OL < 2 nm Champion data: 0.74 nm SMO. Tool-tool product overlay 4.5-6.5 nm Existing scanner platforms should meet DSA requirements 19

Potential ways to employ DSA Careful trim-mask schemes. employ existing knowledge from double patterning. Cutting lithography f14 20 Target DSA hole Stencil Alphabet methods for constructing arbitrary contact patterns Stanford University work Much of the challenge will be in the EDA area. 20

Will it fly? Conclusions Scanner requirements are largely met already: Resolution Dose and focus control Overlay (ongoing improvements) 200 wph throughput Challenges remain for processing, integration, and mask design. We expect DSA to be employed as an adjunct to 193i lithography. 21