FinFET Devices and Technologies

Similar documents
Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

FinFET-based Design for Robust Nanoscale SRAM

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

FinFET vs. FD-SOI Key Advantages & Disadvantages

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

III-V CMOS: Quo Vadis?

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

III-V CMOS: the key to sub-10 nm electronics?

EECS130 Integrated Circuit Devices

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Alternatives to standard MOSFETs. What problems are we really trying to solve?

EECS130 Integrated Circuit Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

InGaAs MOSFETs for CMOS:

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

Fully Depleted Devices

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering

Power MOSFET Zheng Yang (ERF 3017,

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Drain. Drain. [Intel: bulk-si MOSFETs]

Enabling Breakthroughs In Technology

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Design of Optimized Digital Logic Circuits Using FinFET

Session 3: Solid State Devices. Silicon on Insulator

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

2014, IJARCSSE All Rights Reserved Page 1352

Innovation to Advance Moore s Law Requires Core Technology Revolution

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

General look back at MESFET processing. General principles of heterostructure use in FETs

Future MOSFET Devices using high-k (TiO 2 ) dielectric

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

Nanoscale III-V CMOS

32nm Technology and Beyond

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

SUPPLEMENTARY INFORMATION

Semiconductor TCAD Tools

2.8 - CMOS TECHNOLOGY

FinFETs have emerged as the solution to short channel

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116

Experimentally reported sub-60mv/dec

Performance Evaluation of MISISFET- TCAD Simulation

Reduction of Short-Channel Effects in FinFET Mahender Veshala, Ramchander Jatooth, Kota Rajesh Reddy

InGaAs MOSFET Electronics

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Session 10: Solid State Physics MOSFET

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

High performance Hetero Gate Schottky Barrier MOSFET

Intel Technology Journal

III-V Channel Transistors

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Challenges and Innovations in Nano CMOS Transistor Scaling

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

Performance advancement of High-K dielectric MOSFET

y y (12) Patent Application Publication (10) Pub. No.: US 2015/ A1 (19) United States (43) Pub. Date: Sep. 10, C 410C 422b 4200

Effect of High-k Gate on the functioning of MOSFET at nano meter sizes

Alternative Channel Materials for MOSFET Scaling Below 10nm

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

A Review of Low-Power VLSI Technology Developments

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture #29. Moore s Law

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Performance Analysis of InGaAs Double Gate MOSFET

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005)

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Analog Synaptic Behavior of a Silicon Nitride Memristor

Device architectures for the 5nm technology node and beyond Nadine Collaert

Advanced PDK and Technologies accessible through ASCENT

In principle, the high mobilities of InGaAs and

Tunneling Field Effect Transistors for Low Power ULSI

THRESHOLD VOLTAGE CONTROL SCHEMES

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations

Transcription:

FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm Gate oxide thickness stops scaling Even with thin EOT, one still encounters electrostatic control problem Even with zero oxide thickness and with halo implant, bad current is still quite large Also heavy halo implant leads to band-to-band tunneling current 2 1

Why FinFETs? Ultra-thin body SOI devices provide improved electrostatic control A better solution is double-gate type devices! FinFETs 3 4 T. J. King-Liu VLSI 2012 2

5 Tri-Gate Transistor 6 SOURCE: Intel 3

Tri-Gate Transistor 7 Tri-Gate Transistor Scaling H fin and W fin where X UD is lateral diffusion from S/D; W si = W fin W fin is a key parameter for scaling If is too wide, there is no advantage over planar devices W eff must be decreased (along with L eff ) in order to have good electrostatic control 8 J. Kavalieros (Intel) Novel Device Architectures and Material Innovations, VLSI Symposium 2008 Technology Short Course 4

T. Hook, IBM, FDSOI Workshop 4/2013 9 10 T. Hook, IBM, FDSOI Workshop 4/2013 5

11 T. Hook, IBM, FDSOI Workshop 4/2013 Bulk-FinFET vs. SOI FinFET Variation of fin heights (ΔH fin ) ΔH fin might be less for SOI-FinFETs Cost Substrate cost is less for bulk-finfets; but processing cost might be less for SOI-FinFETs Heat buildup in channel Bulk FinFETs might be cooler because thermal conductivity is better for Si than SiO 2 Parasitic BJT SOI-FinFETs do not have parasitic BJT problem! lower leakage Epitaxial S/D Might be less difficult for bulk-finfets 12 6

Self-aligned double patterning (SADP) 13 T. J. King-Liu VLSI 2012 Self-aligned double patterning (SADP) T. J. King-Liu VLSI 2012 & Y.-K. Choi et al. (UC-Berkeley), IEEE TED, Vol. 49, pp. 436-441, 2002 14 7

Fin fabrication by wet etch Tetramethylammonium hydroxide (TMAH) is a quaternary ammonium salt with the molecular formula N(CH 3 ) 4 + OH -. TMAH is an anisotropic etching of silicon. Etch: 2.38% TMAH solution at 50 C For (111)-oriented sidewall planes, the etch rate is extremely low! Very narrow and straight Si-fin channels can be fabricated 15 Y. X. Liu (Advanced Industrial Science and Technology AIST), IEEE IEDM 2006 Fin fabrication by wet etch 16 Y. X. Liu (Advanced Industrial Science and Technology AIST), IEEE IEDM 2006 8

Bulk-FinFET vs. SOI FinFET Cost Comparison 17 SOURCE: SOI Industry Consortium 18 T. J. King-Liu (UC Berkeley) VLSI Technology 2012 9

Variability -- Bulk-FinFET vs. SOI FinFET 19 SOURCE: SOI Industry Consortium Variability -- Bulk-FinFET vs. SOI FinFET 20 SOURCE: SOI Industry Consortium 10

Width quantization W = n x (2 H fin + W fin ) where n = # of fins = quantized = especially bad for analog circuits application which requires various widths 21 Width quantization (cont.) Analog design -- W as a circuit parameter goes from a continuous variable to a set of small positive integers Width quantization changes layout practices (e.g. layout tool to convert gate-width ratios into the necessary number of fins) Layout design rules become more complicated e.g. Spacing rules to reduce coupling SADP adds more complication to layout rules Dummy gate another layout-dependent effect There are already about 5,000 layout rules to check at 20 nm Result is increasing overall design time 22 11

V T control and multiple threshold voltages Particularly important for analog applications How to achieve good threshold control and multiple V T? Traditionally by changing substrate doping concentration N sub and/or by multiple dielectric thicknesses and/or back bias However, for FinFETs or Tri-gate transistors, body is generally undoped. It is also difficult to implement multiple dielectric thicknesses in 3D structures Another way to achieve multiple V T is by using multiple fin widths (i.e. wider fins! higher V T ) But fin width is defined by spacer technology. Need various spacer techniques for different widths. 23 Threshold voltage control and multiple V T schemes V T tuning with aluminum implantation SOI-FinFETs --- Hf-based high-k dielectrics / PVD TiN metal gate Aluminum implant (1E15-1E16/cm 2 ) into TiN metal but not the high-k; using ultralow Trident implanter (3mA at 600eV). Effective work function (EWF) is modulated by Al implantation via Alinduced dipole at the HfO 2 /SiO 2 interface. 24 F. Rao (AMAT & Sematech) Ion Implantation Technology 2012 12

Threshold voltage control and multiple V T schemes V T tuning using aluminum diffusion Interfacial layer SiO 2 by O 3 -oxidation ALD TiN by TDMAT (tetrakis dimethyl amino titanium) or TiCl 4 based ALD-TaN and in-situ CVD-Co/HP-CVD Al as fill-metal (or W as fill metal) Al diffuses differently in/through TiN depending on its growth method Since Al-rich TiN has a more n-type EWF, stacks with higher amount of Al diffused into TiN translate into lower EWF values (i.e. more n-type EWF) Note: TDMAT-TiN is the least Al-rich TiN! Selected for P-MOSFET TiCl 4 -TiN is the most Al-rich TiN! Selected for N-MOSFET 25 A. Veloso (IMEC) VLSI Technology Symposium 2013 Orientation Multiple crystalline planes, depending on the orientation of the fins (i.e. layout) What should the fin direction be patterned? Kuhn SSDM 2009: (110) sidewall planes! better hole mobility (100) sidewall planes! better electron mobility Aggressively scaled W fin leads to more quantization (i.e. QM effects)! mobility decreases Tapered fin results in off-axis planes, causing mobility degradation 26 13

27 SOURCE: Intel Temperature Effects of FinFETs FinFETs might suffer worse self-heating effects, especially the so-called SOI-FinFETs. 28 14

Source/drain resistance Merged epitaxy Merged vs. unmerged source/drain regions Merged S/D potentially provide lower source/drain resistance. However, epitaxial growth control can be challenging and may result in increased defect density. Furthermore, stress provided by merged fins for strained-si channel is more difficult to control than unmerged fins 29 T. Hook (IBM) FDSOI Workshop 2012 3D InGaAs Gate-Wrap-Around FETs Device Structure Top$view " Key features: 50nm undoped In 0.53 Ga 0.47 As channel 1 nm InP barrier layer 7 nm Al 2 O 3 / 60 nm TiN 20 nm N+ layer for Source/Drain F. Xue and J. Lee, IEEE Trans. On Elec. Devices 7/2014 & IEEE IEDM 12/2012 15

3D InGaAs Gate-Wrap-Around FETs SEM images of InGaAs GWAFETs " Devices with W fin from 40 nm to 200 nm, the gate length of 140 nm and 280 nm, and various numbers of parallel channels were fabricated. F. Xue and J. Lee, IEEE Trans. On Elec. Devices 7/2014 & IEEE IEDM 12/2012 Comparison of Scalability InGaAs FETs GWA W fin =40nm Planar 5nm Channel Planar 10nm Channel DIBL (mv/v) SS (ma/dec) 20 90 121 100 206 135 " Better scalability was achieved by GWAFETs compare to planar structure with lower DIBL and SS. " SS is limited by the interface at high-k and InGaAs. F. Xue and J. Lee, IEEE Trans. On Elec. Devices 7/2014 & IEEE IEDM 12/2012 16

3D InGaAs Gate-Wrap-Around FETs F. Xue and J. Lee, IEEE Trans. On Elec. Devices 7/2014 & IEEE IEDM 12/2012 Summary FinFETs are needed for 22nm and beyond Fabrication processes of bulk-finfets and SOI-FinFETs using self-aligned double patterning (SADP) have been developed successfully Both bulk-finfets and SOI-FinFETs are in development and production. Both have been compared in terms of process complexity, cost, temperature effects, variability; as well as vertical fins vs. tapered fins (e.g. Structural Stability, Corner Effects, S/D Doping, Mobility) 34 17

Summary Width quantization imposes some challenges on circuit design, especially for analog applications Threshold voltage tuning / multiple V T is an important issue, which involves consideration of doped vs. undoped channel, QM effects, asymmetrical t ox, implant/diffused aluminum and cap oxide schemes, gate workfunction control, etc Channel orientation issues: (110) sidewall planes! better hole mobility (100) sidewall planes! better electron mobility Hybrid orientation scheme might be difficult to implement in practice FinFET is applicable to analog circuit and mixed-signal applications 35 18