Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Similar documents
Di/dt Mitigation Method in Power Delivery Design & Analysis

PDS Impact for DDR Low Cost Design

EMI Reduction on an Automotive Microcontroller

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Evaluation of Package Properties for RF BJTs

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

Engineering the Power Delivery Network

EMI Modeling of a 32-bit Microcontroller in Wait Mode

Effect of Aging on Power Integrity of Digital Integrated Circuits

Automotive PCB SI and PI analysis

Development and Validation of a Microcontroller Model for EMC

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

Development and Validation of IC Models for EMC

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

Vishram S. Pandit, Intel Corporation (916) ]

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

International Journal of Advanced Research in Computer Science and Software Engineering

LSI and Circuit Technologies for the SX-8 Supercomputer

doi: info:doi/ /icpe

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

Design of the Power Delivery System for Next Generation Gigahertz Packages

System Co-design and optimization for high performance and low power SoC s

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

UNIT-III POWER ESTIMATION AND ANALYSIS

Introduction to EMI/EMC Challenges and Their Solution

Ansys Designer RF Training Lecture 3: Nexxim Circuit Analysis for RF

Power Consumption and Management for LatticeECP3 Devices

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing

Preliminary Product Overview

Signal Integrity Design of TSV-Based 3D IC

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

Quick guide to Power. V1.2.1 July 29 th 2013

Towards Developing a Standard for Data Input/Output Format for PDN Modeling & Simulation Tools

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Active Decap Design Considerations for Optimal Supply Noise Reduction

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Guaranteeing Silicon Performance with FPGA Timing Models

Myoung Joon Choi, Vishram S. Pandit Intel Corp.

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Characterization Methodology for High Density Microwave Fixtures. Dr. Brock J. LaMeres, Montana State University

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Lab 3: Circuit Simulation with PSPICE

Appendix. RF Transient Simulator. Page 1

MDLL & Slave Delay Line performance analysis using novel delay modeling

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise

Power-Area trade-off for Different CMOS Design Technologies

Power integrity is more than decoupling capacitors The Power Integrity Ecosystem. Keysight HSD Seminar Mastering SI & PI Design

VLSI Designed Low Power Based DPDT Switch

W2360EP/ET SIPro Signal Integrity EM Analysis W2359EP/ET PIPro Power Integrity EM Analysis

Using ICEM Model Expert to Predict TC1796 Conducted Emission

CHAPTER 3 NEW SLEEPY- PASS GATE

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

Lecture 17. Low Power Circuits and Power Delivery

Decoupling capacitor uses and selection

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

Decoupling capacitor placement

Microcircuit Electrical Issues

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

A Simulation Study of Simultaneous Switching Noise

Introduction to PSpice

Importance of measuring parasitic capacitance in isolated gate drive applications. W. Frank Infineon Technologies

Interconnect/Via CONCORDIA VLSI DESIGN LAB

A Static Power Model for Architects

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

DATASHEET CADENCE QRC EXTRACTION

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

NGSPICE- Usage and Examples

Variation-Aware Design for Nanometer Generation LSI

IBIS in the Frequency Domain. Michael Mirmak Intel Corporation DAC IBIS Summit 2006 July 25, 2006

The Role of Voltage Regulation in Power Integrity for Multi-Gbps Parallel I/O Interfaces. Yue Yin

Relationship Between Signal Integrity and EMC

Prediction and Measurement of Supply Noise Induced Jitter in High-Speed I/O Interfaces

PDN Application of Ferrite Beads

Accurate Simulation of RF Designs Requires Consistent Modeling Techniques

Wideband On-die Power Supply Decoupling in High Performance DRAM

Schematic and Layout Simulation Exercise

Innovations in EDA Webcast Series

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Modelling electromagnetic field coupling from an ESD gun to an IC

PDN design and analysis methodology in SI&PI codesign

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

30 ma flash LDO voltage regulator (output voltage 1.8 ± 0.2 V)

LSI Design Flow Development for Advanced Technology

Foundry WLSI Technology for Power Management System Integration

Lab 7 (Hands-On Experiment): CMOS Inverter, NAND Gate, and NOR Gate

Transcription:

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco, uharisha@cisco.com 1

Agenda Introduction and problem statement CPM - reduced order die models for Chip Package System analysis Concepts and steps in creating a model Validation of the model Applications of CPM Case study: Use of CPM for system and package level power delivery network analysis and validation for a Cisco product. 2

Introduction and Problem Statement The power integrity of a chip when mounted on a package and PC board is often not well understood during the chip design phase Traditional system power integrity analysis has often been limited to considering DC IR drop only How can we insure a robust power integrity design while not over designing the board, package and die? The goal is to have a power integrity methodology that helps to predict system level behavior while in the design phase One problem is getting good models of die current behavior based on the actual logic and the nature of the die power network One way to get a model from an actual die during the design phase is from Apache RedHawk, the CPM or Chip Power Model 3

CPM Equivalent Circuit For each domain: - Cdie/Rdie - Icc(t) for every pin for VCD and VectorLess modes of switching Compact SPICE model for the full-chip PDN Enable the analysis, diagnostic and optimization of the system level power integrity design Full-chip time and frequency domain simulation and model order reduction 4

Case Study: Use of CPM in Cisco System Design Design description Die: Package: Board: 90nm ASIC with 32 Watt power consumption 1 core VDD and 3 IO power domains, Including edram, SerDes 96 million core transistors Flip-chip 33mm, 8 layer, 1020 BGA pins 2-3mm thick PCB, Multi-layer (FR4) Analysis and design goals: Verify against noise targets at various parts of the PDN Set system level power performance expectations Validate for different operating modes of the ASICs 5

Analyses Done For ASIC Validation Perform detailed ASIC level DC (static) and transient (dynamic voltage drop) analyses using RedHawk Include package model for ASIC level sign-off Perform validation at every stage to tape-out ASIC Package Design PCB Design RedHawk Package Model (RLCK/S-param) 6

Analyses Done For System Validation Detailed system level AC and Transient analyses for different operating modes (multiple CPMs) of the ASIC AC analyses to understand impedance and resonance points for all power domains Transient analysis to ensure power integrity both at BGA pin and at die bumps for all power domains ASIC Package Design PCB Design CPM Package/PCB netlist / simulation 7

Simulation Setup For System Validation Generic PC board power model S-parameter (or Broadband SPICE) based package model CPMs of the ASIC are created for different operating modes AC analysis also done with lumped model estimates Netlist simulated using Spice System Schematic of Simulation Setup for Core VDD 8

Partitioning the Die and Package Models The Die, Package, and PC Board Models must be partitioned so that they map correctly to each other In this example, the core VDD is divided into 36 partitions 9

CPM Creation Example Using RedHawk/CPM to create pin group based die model VectorLess mode used to create switching scenarios Fully coupled network solve and reduction Precise time domain and frequency domain representation of the ondie noise source CPM PVT Chip Activity Memory Clock Gating EDRAM Activity Model_A Model_B Fast Process, High Voltage (1.05V), High Temperature Slow Process, Low Voltage(0.95V), High Temperature 12.5% 30% 15% 50% 12.5% 30% 15% 50% 10

CPM Self-consistency Checks Package s-parameter Package s-parameter Hook-up the S-param package model to the CPM and run in HSpice Measure the voltage drop at the package bump nodes and correlate to Redhawk full chip DvD results 11

CPM Self-consistency Checks Both fast and slow CPMs models were compared and validated CPM SPICE simulation waveforms (Die ports 1 to 72): Model_A (High voltage corner) Model_B (Low voltage corner) Model_A Fast Si, High Voltage & Temp Port # Redhawk CPM p20 32mV 33mV p56 33mV 33mV Model_B Slow Si, Low Voltage & High Temp Port # Redhawk CPM p68 21mV 21mV p70 22mV 23mV 12

AC Analysis Impedance study using both estimated lumped models lumped and CPM Explore different package decap options Package decap selection can be made to reduce the peak magnitude of the impedance Resonant frequency and peak Z depend on the correct Die, Package and PC Board model inclusion Accurate equivalent die model (Cdie/Rdie) is crucial to obtain the correct resonant peak frequency and Z Z at the Die 13

Transient Analysis CPM Current CPM model created for few cycles along with pre-simulation initialization CPM current profile duration extended by repeating the pattern The longer current profile duration allows for PCB simulation initialization Comparisons are done to other internal current profile models Current profile from default CPM Pre-sim One cycle: 32ns Repeat.. Final CPM used has current profile repeated multiple times 14

36 Bump Voltage Transient Analysis: 0.95VDC, High Temp, Slow Process CPM, package, PC board power system Ideal voltage Initialization Entire simulation time, including initialization Zoom in Voltage drop variation at 36 CPM partitions (representing bump locations) 15

36 Bump Voltage Transient Analysis: 1.05VDC, High Temp, Fast Process CPM, package, PC board power system Ideal voltage Initialization Entire simulation time, including initialization Zoom in Voltage drop variation at 36 CPM partitions (representing bump locations) 16

BGA Pin Voltage Transient Analysis: 0.95VDC, High Temp, Slow Process CPM, package, PC board power system Ideal voltage Initialization Entire simulation time, including initialization Zoom in to steady state portion Voltage drop variation at BGA pins 17

System Power Integrity Analysis Summary System power analysis with the CPM die model can predict: Noisy areas in the die Resonance, frequency of resonance, peak Z Amount of power noise at the bumps Steady state die power noise Although a zero to 100% current step can generally not happen in a real world environment, the analysis can help show how other step changes of current can affect the power integrity at the die and at the BGA pins. Transient analysis can help to find the best solutions and tradeoffs for die capacitance and package decaps 18

System Power Integrity Limitations Summary System Power Analysis depends on accurate, passive and causal models of package, PCB which is difficult to obtain Transient analysis with s- parameter can be slow and problematic S-parameter models must be accurate from DC to few GHz CPM can accurately predict die behavior for the scenarios under which they are created, but many other operational conditions and modes can exist Difficult to correlate system level power integrity with measurements on real boards System power integrity simulations can take a long time to complete, depending on the low frequency effects 19

Q and A 20