Opportunities and challenges of silicon photonics based System-In-Package

Similar documents
Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies

Photonic modules for data centers Leti SAMTEC FINAL p Photonic modules for data centers require cutting edge technologies

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Silicon Photonics for Mid-Board Optical Modules Marc Epitaux

VERSATILE SILICON PHOTONIC PLATFORM FOR DATACOM AND COMPUTERCOM APPLICATIONS. B Szelag CEA-Leti

Integrated Photonics using the POET Optical InterposerTM Platform

Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments

Convergence Challenges of Photonics with Electronics

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

OPTICAL I/O RESEARCH PROGRAM AT IMEC

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

Silicon Photonics : Towards Heterogeneous and Multi-layer Integration for High Density Circuits

New Wave SiP solution for Power

Si and InP Integration in the HELIOS project

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Fiber-Optic Transceivers for High-speed Digital Interconnects in Satellites

Design Rules for Silicon Photonic Packaging at Tyndall Institute

WDM board-level optical communications

A 24-Channel 300 Gb/s 8.2 pj/bit Full-Duplex Fiber-Coupled Optical Transceiver Module Based on a Single Holey CMOS IC

Scalable Electro-optical Assembly Techniques for Silicon Photonics

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Merging parallel optics packaging and surface mount technologies

New silicon photonics technology delivers faster data traffic in data centers

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Si CMOS Technical Working Group

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

Winter College on Optics: Fundamentals of Photonics - Theory, Devices and Applications February 2014

Silicon photonics on 3 and 12 μm thick SOI for optical interconnects Timo Aalto VTT Technical Research Centre of Finland

Overview and Roadmap for European projects in Optical Interconnects

WWDM Transceiver Module for 10-Gb/s Ethernet

The Future of Packaging ~ Advanced System Integration

Development of Optical Interconnect PCBs for High-Speed Electronic Systems Fabricator s View

Low Power DSP and Photonic Integration in Optical Networks. Atul Srivastava CTO, NTT Electronics - America. Market Focus ECOC 2014

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Innovations in Photonic Integration Platforms

Photonics Integration and Evolution of the Optical Transceiver Presented by: Giacomo Losio ProLabs

Fiber Optics for Harsh Environments ICSO Chuck Tabbert

Polymer Interconnects for Datacom and Sensing. Department of Engineering, University of Cambridge

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

EE 232 Lightwave Devices Optical Interconnects

Multi-gigabit intra-satellite interconnects employing multi-core fibers and optical engines

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication

Silicon Optical Modulator

Silicon photonics with low loss and small polarization dependency. Timo Aalto VTT Technical Research Centre of Finland

Envisioning the Future of Optoelectronic Interconnects:

inemi OPTOELECTRONICS ROADMAP FOR 2004 Dr. Laura J. Turbini University of Toronto SMTA International September 26, 2005

3D ICs: Recent Advances in the Industry

Silicon Photonics Opportunity, applications & Recent Results

PLC-based integrated devices for advanced modulation formats

Single-mode Glass Waveguide Platform for DWDM Chip-to-Chip Interconnects

The Intimate Integration of Photonics and Electronics for Computing and Switching Systems

Fiber-optic transceivers for multi-gigabit interconnects in space systems

IBM T. J. Watson Research Center IBM Corporation

Contributors and Participants

Long-wavelength VCSELs ready to benefit 40/100-GbE modules

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

PROGRAMMABLE PHOTONIC ICS:

Chip-Scale Package Fiber Optic Transceiver Integration for Harsh Environments. Chuck Tabbert

Presentation Overview

Optical Bus for Intra and Inter-chip Optical Interconnects

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

B. Flip-Chip Technology

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

SiGe BiCMOS and Photonic technologies for high frequency and communication applications Andreas Mai

Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 100 Gb/s and Beyond

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration

Measurement Results for a High Throughput MCM

Fraunhofer IZM - ASSID

Specification for 100GBASE-DR4. Piers Dawe

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects

Silicon Photonics: an Industrial Perspective

!"#$"%&' ()#*+,-+.&/0(

Optical Networks emerging technologies and architectures

OTemp: Optical Thermal Effect Modeling Platform User Manual

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

Silicon Photonics and Skorpios Technology Platform. Market Watch ECOC Cannes - September 22, 2014 A. Viglienzoni

Hetero Silicon Photonics: Components, systems, packaging and beyond

Silicon photonics integration roadmap for applications in computing systems

Overview of short-reach optical interconnects: from VCSELs to silicon nanophotonics

Fitting Optical Interconnects to an Electrical World- Packaging and Reliability Issues of Arrayed Optoelectronic Modules Keith Goossen, University of

Emerging Highly Compact Amplification Solutions for Coherent Transmission

Electro-optical circuit board with single-mode glass waveguide optical interconnects

Ross Saunders GM, Next-gen Transport Opnext Subsystems Inc. 100G Cost/Performance Optimization

Application Interest Group (AIG) Process Overview. Dr. Robert C. Pfahl Director of Roadmapping

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Advances in CO 2 -Laser Drilling of Glass Substrates

New advances in silicon photonics Delphine Marris-Morini

APSUNY PDK: Overview and Future Trends

Design Rules per November Figure 1. Generic Package G5-rev 2.0

ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION. OPTICS Workshop DATE 2017 Yvain THONNART Mar.

A tunable Si CMOS photonic multiplexer/de-multiplexer

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits

Characterization of Parallel Optical-interconnect Waveguides Integrated on a Printed Circuit Board

Transcription:

Opportunities and challenges of silicon photonics based System-In-Package ECTC 2014 Panel session : Emerging Technologies and Market Trends of Silicon Photonics Speaker : Stéphane Bernabé (Leti Photonics Department) Presentation built with help from colleagues at the Silicon Photonics lab (S. Menezo, L. Fulbert), and at the 3D Packaging team (Y. Lamy, H. Ben Jamaa, P. Leduc, G. Pares)

Silicon Photonics at Leti Silicon Photonics A dedicated lab involved in : Component and circuits design Modeling Module integration On-wafer characterization 200 mm and 300mm PIC (Photonic Integrated Circuits) manufacturing on SOI wafers 2

Silicon Photonics : roadmap On-board modules Data centers : AOC Source : Brocade Telecom Networks 3

Building blocks Microbumps Si Chip Si-IP Optical modulator up to 40Gb/s Photodetector up to 40Gb/s Laser source Fiber coupler Ring modulator Waveguides WDM filters 4

Opportunities for Silicon Photonics PICs Key enabler for high complexity PICs Modulation / photodetection / WDM filtering on the same chip Integrated laser for Tx or local oscillator Enabler for PDM-QPSK modulation format Very High Density Interconnections & aggregated bandwidth, w/ WDM Enabler for Tbps applications Scalable architectures Mass production volume CMOS compatible Today, pushed by 100G module standards Decreasing form factors CFP modules Cisco s CPAK module 5

Optical Network on chip Address manycore architectures Low latency, multiple access Make use of SOI photonics chips as interposer (System On Package) Metallic interposer optimal for less than 4 init/targets Active interposer is best for intermediate number of cores (5~10 init/targets) Photonics becomes relevant for many-core system (>20) Photonic link yet requires improvement on energy efficiency performances: from 10 pj/bit to 100 fj/bit in 2020 From Y. Thonnart, Optical Systems on Chip: a Physical Perspective, FETCH Winter School, January 10th, 2014 6

Silicon photonics based SiP Rationale 2D use of the board Provide optical IOs to large EICs Targeted applications Intra-rack Intra-board HPC VCSEL modules have already switched to SiP architectures BGA style packages No standard at the moment EIC (FPGA, ASIC, µp) Fiber optic coupler (multiple channels) TIA/driver BGA laminate PIC Finisar Samtec Avago/Altera 7

Requirements EIC (FPGA, ASIC, µp) TIA/driver High channel density Multichannel compatible (mix WDM+Parallel) Low footprint But high I/O count Low profile Blade server compatible High Bandwidth/data rate up to 25Gbps Multifiber Optical plug/connector CMOS process compatible And SMD process compatible High throughput and low cost Fiber optic coupler (multiple channels) opto electronics 20% BGA laminate PIC µ electronics Wafer processing 10% Packaging 80% Oe device Packaging 90% 8

Packaging scenarios Standalone module Electrical path trough Levels 0, 1, 2 + PCB BW and power consumption limitations Flexible Fiber Ferrule PIC Driver / TIA Organic substrate Micropillars PCB IC Organic substrate Co-packaging with optical transceiver Electrical path trough Levels 0, 1, 2 Co-packaging challenging: thermal issues, supply chain Partitionning to be evaluated Photonic interposer Electrical path trough Levels 0, 1 Co-packaging challenging: thermal issues, supply chain, cost of large photonic interposer Fiber Ferrule Fiber Ferrule PIC Driver / TIA Si interposer or organic substrate Driver/TIA PIC PCB Micropillars Micropillars IC IC Organic substrate (option) PCB

Challenges EIC (FPGA, ASIC, µp) TIA/driver Laser integration RF management Optical coupling Thermal management Could be a killer T sensitive functions Hot spots Fiber optic coupler (multiple channels) BGA laminate PIC From HELIOS EU project From IRT Nanoelec project 10

L out (mw) L out -Fiber(mW) L out -Fiber(dBm) Challenge : laser integration Alignment of laser structure / waveguides CW operation @ = 1.57µm, SMSR~20dB Key Enabling technology for integrated multi-lambda sources, up to 10mW coupled power III-heterostructure Surface cleaning SOI substrate 1- Processed SOI substrate 4 2 J(kA.cm -2 ) 0 1 2 3 4 4 5 6 7 18 18 15 C 16 20 C 16 14 25 C 14 30 C 12 35 C 12 10 40 C 10 45 C 8 50 C 8 6 55 C 60 C 6 0 0 0 50 100 150 200 250 300 350 400 I (ma) thinned down to 3 µm 4 2 1564 1566 1568 1570 1572 1574 1576 5 10 Spectrum @ I=140mA 4 3 2 1 smsr~20db 0 1564 1566 1568 1570 1572 1574 1576 Wavelength(nm) 0-10 -20-30 2- PECVD silica deposition 3- CMP planarization 4- Surface Cleaning Low temperature bonding Laser processing 11

Challenges : RF & 3D 3D packaging is a key technologies for future Silicon Photonics devices Rationale for hybrid integration KGD approach, Standard assembly technology, high yield Short RF lines between photonics functions and related ASICs (TIA, Drivers) Chip size independant Flip-chip assembly advantages Copper/SAC microbumps Low inductance compared to wire-bonding High density (pitch 40µm, or lower) Low capacitance (<10 ff) 12

Challenges : optical coupling EIC (FPGA, ASIC, µp) TIA/driver Use of vertical grating couplers On wafer test capability, 2D IO enabling Matched MFD for SMF butt coupling Moderate coupling losses Vgroove array combined with active alignment 2D and Lensed MT-based connectors Fiber optic coupler (multiple channels) BGA laminate PIC Multicore fiber Typ. 40µm pitch, 7 cores/fiber 13

Optical coupling toolbox Active alignement Typical penalty 0.2 db single fiber 0.5-1dB db fiber array Assembly time: <5 min Unitary process (pigatiling) Semi-passive alignement Vision assisted alignement Silicon etched groove ferrules 2.5 to 4 db penalty loss Assy time ~1 min High throughput assembly of the fiber holder (Pick & place) Passive alignement Vertical Grating coupler Self alignement In solder bumps Excess loss due to misalignement < 1dB Fully collective process (reflow) 14

Conclusion Silicon Photonics is a key enabler for Terabit VSR optical links The natural trend for this class of application is to use microlectronic-like modules, especially through System-In-Package approach For this kind of module, several specific challenges have to be adressed Thermal RF links & related power consumption Optical coupling For most of these challenges, 3D packaging toolbox provides solutions Photonic Integrated Circuits and 3D packaging need to be merged in order to build very high density optical modules 15

16