Co Capping Layers for Cu/Low-k Interconnects

Similar documents
(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

Chapter 15 Summary and Future Trends

Innovation to Advance Moore s Law Requires Core Technology Revolution

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

FOR SEMICONDUCTORS 2007 EDITION

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Plasma Charging Damage Induced by a Power Ramp Down Step in the end of Plasma Enhanced Chemical Vapour Deposition (PECVD) Process

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers

n-channel LDMOS WITH STI FOR BREAKDOWN VOLTAGE ENHANCEMENT AND IMPROVED R ON

HipoCIGS: enamelled steel as substrate for thin film solar cells

Deprocessing and defect analysis of GaN/AlGaN HEMTs. Patrick Whiting, Ray Holzworth Dr. Nicholas Rudawski, and Dr. Kevin Jones

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

Session 3: Solid State Devices. Silicon on Insulator

As Semiconductor Devices Shrink so do their Reliability and Lifetimes

SUPPLEMENTARY INFORMATION

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

FinFET Devices and Technologies

PROCESS and environment parameter variations in scaled

CMP for More Than Moore

Processing and Reliability Issues That Impact Design Practice. Overview

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Logic Technology Development, *QRE, ** TCAD Intel Corporation

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Fabricating 2.5D, 3D, 5.5D Devices

State-of-The-Art Dielectric Etch Technology

Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires

Supplementary Information: Nanoscale. Structure, Dynamics, and Aging Behavior of. Metallic Glass Thin Films

Ridgetop Group, Inc.

Issue 89 November 2016

Issue 111 September 2018

Silicon Interposers enable high performance capacitors

3D ICs: Recent Advances in the Industry

IMI Labs Semiconductor Applications. June 20, 2016

Novel SiC Junction Barrier Schottky Diode Structure for Efficiency Improvement of EV Inverter

Design and Performance of a Pinned Photodiode CMOS Image Sensor Using Reverse Substrate Bias

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Fault Diagnosis Algorithms Part 2

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

(12) United States Patent (10) Patent No.: US 6,211,068 B1

Microstrip Filter Design

3D Si Interposer Design and Electrical Performance Study

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

INTERCONNECT 2003 EDITION

GaN Based Power Conversion: Moving On! Tim McDonald APEC Key Component Technologies for Power Electronics in Electric Drive Vehicles

B. Flip-Chip Technology

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

Slot Antennas For Dual And Wideband Operation In Wireless Communication Systems

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

what is a multiplier? how does a multiplier work? common multiplier applications II. Assembly Type III. Other Design Concerns

Supplementary Figure S1 X-ray diffraction pattern of the Ag nanowires shown in Fig. 1a dispersed in their original solution. The wavelength of the

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany

Through Glass Via (TGV) Technology for RF Applications

A NEW TECHNIQUE TO RAPIDLY IDENTIFY LOW LEVEL GATE OXIDE LEAKAGE IN FIELD EFFECT SEMICONDUCTORS USING A SCANNING ELECTRON MICROSCOPE.

Inspection-analysis Solutions for High-quality and High-efficiency Semiconductor Device Manufacturing

Multi-Element Si Sensor with Readout ASIC for EXAFS Spectroscopy 1

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Ultra-thin Die Characterization for Stack-die Packaging

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

GaSb based high power single spatial mode and distributed feedback lasers at 2.0 μm

INTEGRATED CIRCUIT ENGINEERING

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Exploration of Pinhole and Defect Density in Insulating Layer of Magnetic Tunnel Junctions

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Electrical Characterization of OLED s Using Solartron Instrumentation

Gallium nitride (GaN)

Envisioning the Future of Optoelectronic Interconnects:

Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings

Integrated Circuit Intrinsic Reliability

GST CMP BLANKET and TEST PATTERNED WAFERS

3D and Aerosol Printed Conductor Dielectric Full- 3D RF Metamaterials

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Newer process technology (since 1999) includes :

improving further the mobility, and therefore the channel conductivity. The positive pattern definition proposed by Hirayama [6] was much improved in

Resonant Tunneling Device. Kalpesh Raval

EMBEDDED ACTIVE DEVICE PACKAGING TECHNOLOGY FOR REAL DDR2 MEMORY CHIPS

Recent Trends in Semiconductor IC Device Manufacturing

Measuring Method for TSV-based Interconnect Resistance in 3D-SIC by Embedded Analog Boundar y-scan Circuit

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel:

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Simulation of multi-junction compound solar cells. Copyright 2009 Crosslight Software Inc.

Wideband Bow-Tie Slot Antennas with Tapered Tuning Stubs

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018.

Measurement of Laddering Wave in Lossy Serpentine Delay Line

The impact of Triangular Defects on Electrical Characteristics and Switching Performance of 3.3kV 4H-SiC PiN Diode

Ultra-sensitive, room-temperature THz detector using nonlinear parametric upconversion

EECS130 Integrated Circuit Devices

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Broadband Substrate to Substrate Interconnection

Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques

Transcription:

IBM Research Co Capping Layers for /Low-k Interconnects Chih-Chao Yang IBM ChihChao@us.ibm.com Co-Authors: International Business Machines Corp. P. Flaitz, B. Li, F. Chen, C. Christiansen, and D. Edelstein Applied Materials Inc. S.-Y. Lee, P. Ma 2010 IBM Corporation

Practical Points Dielectric capping layer Continual scaling of interconnect dimension Dielectric capping layer Low-k Barrier rrent density increase Low-k Risk of Electromigration (EM) Failure! / Dielectric capping layer interface Dominates diffusion path Weakest site in resisting EM failure Metallic capping layer Slows the diffusion Enhances EM resistance Dielectric Metallic 2 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Metallic capping layer Low-k Barrier Practical Points Metal cap on interconnects to reduce transport and void growth- tradeoff b/w EM improvement and resistivity increase. Additional liabilities: line-to-line leakages, yield degradation, capacitance increases. Example: Implementation of a selective metal cap via electroless deposition technique Prevents contamination of dielectric surface from plating bath line-to-line leakages, yield degradation, other reliability related issues, impact on overall interconnect capacitance. Adds processing steps- Pre-/ Post-cleans Increased wafer process cost 3 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Introduction Experimental Data - Selectivity Check - TEM Analysis Outline - Electrical Measurements - Reliability Summary 4 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Background CVD Co Process Alternate liner approach in BEOL *, technology extendibility Reliable liner layer for -low k integration Selective metal cap in advanced / Low-k interconnects interconnect EM enhancement No undesirable impact on leakages, yields, electrical resistance * T. Nogami, et al., IEEE Int. Interconnect Technology Conf., 2010. 5 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Experimental Details Blanket wafers: selectivity of CVD Co on vs. low-k dielectrics Patterned wafers: electrical and reliability evaluations Liner/ Seed: 300mm platform: degas, Ar + sputter, PVD TaN, and PVD seed. Conventional electroplating and CMP Selective CVD Co cap layer on exposed interconnects Blanket NBLoK (SiC x N y H z ) dielectric capping layer TEM analysis on patterned wafers Feasibility of the CVD Co cap in the /low-k system: Inline parametric measurements, electromigration (EM) and time-dependent-dielectric-breakdown (TDDB) tests. 6 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Selectivity Check X-Ray Fluorescence (XRF) spectroscopy - selectivity of the CVD Co deposition process between and low-k dielectric blanket substrates Deposit Co Thickness [A] Co on and dielectrics Deposit Time k1~2.7 k2~2.4 k3~2.2 Higher Co deposition rate on than on dielectrics, No obvious deposition rate difference observed among the dielectrics. Observed deposited Co thickness difference is attributed to the result of different incubation time exhibited between and dielectric substrates. 7 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

TEM Analysis Co selectivity check- intra-line/ dielectric surface Scanning Transmission electron microscopy (STEM) image and energy dispersive X-ray spectroscopy (EDX) analysis result. NBLoK Co ~1.8nm from 2x process time Confirmation of selective Co deposition on surface with no detectable nucleation on the exposed dielectric surface 8 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

NBLoK /Co/ interfacial properties Energy dispersive X-ray (EDX) electron energy-loss spectroscopy (EELS) profiles. Co NBLoK (SiC x N y H z ) Co NBLoK O - A small amount of O at the NBLoK/Co interface within the NBLoK. - The amount of O is in the range of that normally detected for NBLoK cap interfaces. - No detectable O is observed at the Co/ interface. 9 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Electrical Measurements Patterned wafers: 32nm CMOS test vehicles consisting of one single damascene M1, four dual damascene Mx levels, and one Al termination level. After M2 polish, some wafers were selectively capped with various amount of CVD Co. Line-to-line leakage/yield measurements Leakage rrent [A] Leakage rrent Yield CVD Co Process Time Yield [%] Leakage currents increases and yields decreases with increasing the process time. No leakage current increase or yield degradation are observed on 2x and 4x process time splits. Complete selectivity is NOT observed when the process time is up to 6x, which is an indication of Co nucleation on intra-line dielectric surface. 10 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Electrical Measurements Final parametric open/ short measurements- post Al termination level Comb-Serpentine Yield Yield Links of Via Chain Yield Yield Final M2 parametric yield measurements after additional 3 dual damascene and 1 Al termination levels. No line-to-line leakage current increase observed after next level process for both control and Co wafers. No open/short yield degradation observed at both the defect monitor structures. Demonstration of stable parametric yield prior and post CVD Co metal cap deposition 11 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Electrical Measurements Resistance comparison between at-level and final measurements Line-Res Kevin Via-Res No line resistance increase observed due to the CVD Co cap process- an indication of very limited reaction between Co cap and interconnect. No Kelvin via resistance observed- supporting good thermal stability of the Co capped interconnect. 12 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Reliability Test- EM Electromigration (25 ma/um 2 at 345 o C) Structure- dual damascene multi-level via-line Electron flow: single contact to 50nm wide line located below Failure criterion: 10% resistance increase Failure distribution: Lognormal Obvious EM resistance enhancement for Co-capped interconnects 13 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Reliability Test- TDDB Module-level time-dependent dielectric breakdown (TDDB) test comb- serpentine structure with ~60 nm spacing Multiple constant electrical biases at 120 o C Failure criterion: leakage current increased by an order of magnitude Control No Co Co-4x Co-2x At high V, Co-4x shows the longest time-tobreakdown (tbd) and Co-2x shows the shortest tbd. Co-4x > Control > Co-2x Voltage acceleration factors (which are the slopes of lines) are in favor of the Co-2x. Co-2x > Control > Co-4x At low V, the three processes show very compatible tbd. Co-2x ~ Control ~ Co-4x No TDDB performance degradation observed from the Co cap process. 14 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Conclusions A selective CVD Co cap deposition process was investigated for /low-k integration. Enhancement of interconnect electromigration resistance through this approach was demonstrated with supporting parametric measurements. This Co-capped interconnect structure also shows good thermal stability, which is a critical reliability requirement. This selective CVD Co cap process is a good candidate for reliable BEOL /low-k integration. 15 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation

Acknowledgements This work was performed by the Research Alliance Teams at various IBM Research and Development Facilities. 16 AMC, Albany, NY, Oct. 5-7, 2010 2010 IBM Corporation