University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM

Similar documents
RTN Induced Frequency Shift Measurements Using a Ring Oscillator Based Circuit

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Design of Soft Error Tolerant Memory and Logic Circuits

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

Duty-Cycle Shift under Asymmetric BTI Aging: A Simple Characterization Method and its Application to SRAM Timing

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Interconnect-Power Dissipation in a Microprocessor

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES. Hangfang Zhang.

A New Low Power High Reliability Flip-Flop Robust Against Process Variations

SIMMAT A Metastability Analysis Tool

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

The challenges of low power design Karen Yorav

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage

EECS 427 Lecture 22: Low and Multiple-Vdd Design

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

An Array-Based Circuit for Characterizing Latent Plasma-Induced Damage

Effect of Aging on Power Integrity of Digital Integrated Circuits

A Novel Latch design for Low Power Applications

Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Leakage Power Minimization in Deep-Submicron CMOS circuits

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

FinFET-based Design for Robust Nanoscale SRAM

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

A BUILT-IN SELF-TEST (BIST) TECHNIQUE FOR SINGLE-EVENT TRANSIENT TESTING IN DIGITAL CIRCUITS. Anitha Balasubramanian. Thesis

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

1 Digital EE141 Integrated Circuits 2nd Introduction

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

CMOS Transistor and Circuits. Jan 2015 CMOS Transistor 1

CHAPTER 6 DESIGN OF VOLTAGE CONTROLLED OSCILLATOR (VCO) USING 45 NM VLSI TECHNOLOGY

Lecture 9: Clocking for High Performance Processors

Fast Characterization of PBTI and NBTI Induced Frequency Shifts under a Realistic Recovery Bias Using a Ring Oscillator Based Circuit

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA

EECS 141: SPRING 98 FINAL

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

Electronic Circuits EE359A

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

Optimization of power in different circuits using MTCMOS Technique

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

Device and Architecture Concurrent Optimization for FPGA Transient Soft Error Rate

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction

SINGLE EVENT LATCH-UP TEST REPORT ADCLK925S

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses

Transmission-Line Readout with Good Time and Space Resolution for Large-Area MCP-PMTs

International Journal of Advanced Research in Computer Science and Software Engineering

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Duty-Cycle Shift under Asymmetric BTI Aging: A Simple Characterization Method and its Application to SRAM Timing 1 Xiaofei Wang

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Development of a sampling ASIC for fast detector signals

Cosmic Rays induced Single Event Effects in Power Semiconductor Devices

Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

A New Single-Photon Avalanche Diode in 90nm Standard CMOS Technology

A Radiation-Hard Redundant Flip-Flop to Suppress Multiple Cell Upset by Utilizing the Parasitic Bipolar Effect

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Impact of Interconnect Length on. Degradation

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Digital Design and System Implementation. Overview of Physical Implementations

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits

Introduction to VLSI ASIC Design and Technology

Design of Robust CMOS Circuits for Soft Error Tolerance

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

ECE380 Digital Logic

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Radiation Effects Measurement Test Structure. using GF 32-nm SOI process. Lovish Masand

COMPARATIVE ANALYSIS OF PULSE TRIGGERED FLIP FLOP DESIGN FOR LOW POWER CONSUMPTION

Design and implementation of LDPC decoder using time domain-ams processing

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST /$ IEEE

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Minimum Supply Voltage for Sequential Logic Circuits in a 22nm Technology

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

Digital Systems Power, Speed and Packages II CMPE 650

Design of low-power, high performance flip-flops

ADC Bit µp Compatible A/D Converter

All-Digital PLL Frequency and Phase Noise Degradation Measurements Using Simple On-Chip Monitoring Circuits

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

Recent Experimental Studies of the Electron Cloud at the Los Alamos PSR

MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota

This work is supported in part by grants from GSRC and NSF (Career No )

Transcription:

Statistical Characterization of Radiation- Induced Pulse Waveforms and Flip-Flop Soft Errors in 14nm Tri-Gate CMOS Using a Back- Sampling Chain (BSC) Technique Saurabh Kumar 1, M. Cho 2, L. Everson 1, H. Kim 1, Q. Tang 1, P. Mazanec 1, P. Meinerzhagen 2, A. Malavasi 2, D. Lake 2, C. Tokunaga 2, H. Quinn 3, M. Khellah 2, J. Tschanz 2, S. Borkar 2, V. De 2 and C. H. Kim 1 1 University of Minnesota, Minneapolis, MN 2 Intel Corporation, Hillsboro, OR 3 Los Alamos National Laboratory, Los Alamos, NM kumar175@umn.edu This research was, in part, funded by the U.S. government. The views and conclusions contained in this document are those of the authors and should not be interpreted as representing the official policies, either expressed or implied, of the U.S. government Symposia on VLSI Technology and Circuits

Outline Motivation Back-sampling chain (BSC) technique 14nm test-chip and board design Neutron irradiation test results Strike pulse re-construction results Conclusion Slide 1

S Soft Error: Planar vs. Tri-Gate D G G Fin S D Charge collection Charge collection Device level soft error rate has been decreasing with scaling FIT/Mb (Log Scale) S. Lee et. al. IRPS 2015 Planar Finfet High energy neutron Alpha particle Thermal neutron 65 45 32 28 14 Technology (nm) Slide 2

Scaling Impact on SER in Tri-Gate G Smaller crosssection S D Narrower path 14nm 10nm Lower SER with tri-gate scaling Taller/narrower fins, higher resistance Smaller cross-section Slide 3

Scaling Impact on SER in Tri-Gate Transistor density (MTr / mm 2 ) 10 3 10 2 10 1 10 0 07 45nm 32nm 22nm 14nm 0 08 09 10 11 12 13 14 15 16 17 18 Year of production 10nm 1.4 1.2 1.0 0.8 0.6 0.4 0.2 Supply Voltage (a.u.) Lower per-transistor SER Higher transistor count, lower VDD increased chip-level SER Challenge: Collecting statistically significant amount of data in limited beam time Slide 4

Logic SET vs. Sequential SEU/MBU 1 1 D Clk 1 0 Clk To slave Clk Clk Clk Combinational logic path Flop storage node VDD VDD Vnode Trip point of next stage Vnode Flipping threshold Time Time Slide 5

Circuit Dependencies 1 V node I strike P 1 P 2 1 For higher SER sensitivity: - I restore - I strike - C node - V sw N 1 V sw N 2 I restore C node : High V T, smaller size (N 1 ) : High flux/let (radiation parameter) : Small fan-out, device size : High V T, smaller device (P 2 ) Slide 6

Technique #1: Current Starved Buffers Long chain of current starved buffers: detection circuit Starved buffer chain: High sensitivity Slide 7

Technique #1: Current Starved Buffers SER strike V PO V PE V PO V PE V NO V NE V NO V NE Alternate starving: Lower I restore, lower V sw Analog bias knobs: Tunable resolution and sensitivity Critical charge (Qcrit, normalized) 20 16 12 8 4 0 Standard chain This work 1x INV, TT, 27 C Higher sensitivity 0.2 0.4 0.6 0.8 Voltage (V) Slide 8

Technique #2: Back-Sampling Chain SER strike V PO V PE V PO V PE V NO V NE V NO V NE N2 Later stage rising edge back-samples previous stage falling edge Stage Output # of 0's indicate original pulse width Time Slide 9

Example: Short vs. Long Strike Pulse Stage Output Unsampled original bits Long trail of 0's Stage Output Unsampled original bits Short trail of 0's Time Time Slide 10

Min. detection amplitude (normalized to VDD) Tunable Resolution and Sensitivity 0.40 0.32 0.24 0.16 0.08 0.0 0 Sensitivity vs resolution trade-off 10 20 30 40 50 Resolution (ps) Allows multiple resolution-sensitivity sweeps Facilitates strike pulse re-construction V PO V NO V PE V PO V PE V NE V NO V NE N2 Slide 11

Back-Sampling Chain (BSC) Array Buff In Scan Out Q D Q D Q D D Q D Q D Q Q D Q D Q D D Q D Q D Q Unit Cell C1 Q D Q D Q D Scan In D Q D Q D Q Buff Out Q D Q D Q D D Q D Q D Q Slide 12

SER Test Board Fabricated Board Beam diameter = 3 inches 3x3 SER test-chips fit within beam area FPGA: JTAG support for automated control 15.3 million BSC stages per board Slide 13

LANL Neutron Irradiation Test 10 stacked boards with 90 test-chips in parallel irradiated under neutron beam at Los Alamos National Laboratory (LANL) Slide 14

Neutron Beam Parameters Source: Los Alamos National Laboratory Neutron beam specs Avg. energy spectrum range: 1.38 750MeV Avg. neutron flux: ~ 4.2x10 4 neutrons/cm 2 /s Slide 15

Neutron Test Data: SET A strike on buffer node induces SET FF Data = FF Data = Slide 16

Neutron Test Data: SEU/ MBU A strike on flop storage node induces SEU/ MBU FF Data = SEU FF Data = MBU Slide 17

Strike Pulse Width Distribution 1.E+05 Pulse count 1.E+04 1.E+03 1.E+02 Voltage Time 0.40V V DD, 0.30V V GS 0.50V V DD, 0.30V V GS 0.60V V DD, 0.30V V GS 0.6V Sampling 0.5V 0.4V cut-offs 1.E+01 0 0.15 0.30 0.45 0.60 0.75 0.90 Pulse width (ns) With lower VDD, sampling cut-off drops and wider SET pulses are sampled Q crit decreases with lower VDD, increasing SER Slide 18

Strike Pulse Width Distribution 1.E+05 Pulse count 1.E+04 1.E+03 1.E+02 Voltage 0.40V V DD, 0.20V V GS 0.40V V DD, 0.25V V GS 0.40V V DD, 0.30V V GS Time 0.30V V GS 0.25V V GS Sampling 0.20V V GS cut-offs 1.E+01 0 0.15 0.30 0.45 0.60 0.75 0.90 Pulse width (ns) With stronger starving (lower V GS ), sensitivity increases and sampling threshold drops, sampling wider SET pulses Slide 19

SET Pulse Re-construction Slide 20

SET Pulse Re-construction Slide 21

SET Pulse Re-construction FWHM: Full width at half maximum Slide 22

SET Pulse Re-construction FWHM: Full width at half maximum Higher amplitude = shorter pulse width (lower FWHM) To our knowledge, this is the first time individual strike pulses are reconstructed Slide 23

SET Pulse Re-construction 0.5 VDD=0.5V VDD=0.4V Voltage (V) 0.4 0.3 0.2 0.1 FWHM AVG : 218ps Amplitude AVG : 0.42 FWHM AVG : 222 ps Amplitude AVG : 0.45 0.0 0.2 0.6 1.0 Time (ns) 0.2 0.6 1.0 Time (ns) Lower VDD: pulse amplitude, pulse width Slide 24

Comparison with Prior Art To TDC TDC based circuit [1] Pulse shrinking [2] This work Unit cell layout Irregular, not easily scalable Regular, scalable Regular, scalable Sensitivity tuning Fixed by design Fixed by design Variable using bias knobs * Resolution > 30ps > 1ps > 1.3ps Q crit sensitivity * 1x 0.6x 9x * Results reproduced in 14nm process [1] T. D. Loveless et. al. TNS 2012 [2] J. Furuta et.al. IRPS 2011 Slide 25

Flip-Flop SEU/MBU Failure In Time (normalized) 10 8 10 6 10 4 10 2 FIT: # of SER per flop per billion hours Cross-section (normalized) 10 8 5-bit 4-bit 3-bit 10 6 2-bit 1-bit 10 4 10 2 10 0 0.2 0.4 0.6 0.8 VDD (V) 10 0 0.2 0.4 0.6 0.8 VDD (V) SER exponentially increases with lower VDD At lower VDDs, MBU become more dominant Slide 26

Conclusion BSC chain technique proposed with 9x lower Q crit and picosecond range resolution BSC circuit detects SET, SEU, and MBU 14nm test-chip irradiated under neutron beam Individual strike pulses re-constructed based on neutron irradiation data Next step: SER model framework development Slide 27