The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Similar documents
Optics for EUV Production

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL getting ready for volume introduction

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Optics for EUV Lithography

Holistic View of Lithography for Double Patterning. Skip Miller ASML

High-NA EUV lithography enabling Moore s law in the next decade

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Status and challenges of EUV Lithography

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Progress in full field EUV lithography program at IMEC

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

2009 International Workshop on EUV Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

EUV lithography: today and tomorrow

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

EUV Lithography Transition from Research to Commercialization

EUVL Activities in China

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Diffractive optical elements and their potential role in high efficiency illuminators

Projection Systems for Extreme Ultraviolet Lithography

Imaging for the next decade

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Nikon EUVL Development Progress Update

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Update on 193nm immersion exposure tool

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

EUV lithography: status, future requirements and challenges

Flare compensation in EUV lithography

EUV Interference Lithography in NewSUBARU

Challenges of EUV masks and preliminary evaluation

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Nikon F2 Exposure Tool

16nm with 193nm Immersion Lithography and Double Exposure

Advanced Patterning Techniques for 22nm HP and beyond

IIL Imaging Model, Grating-Based Analysis and Optimization

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Maskless Lithography - OML

Scope and Limit of Lithography to the End of Moore s Law

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Defect printability of thin absorber mask in EUV lithography with refined LER resist

EUVL: Challenges to Manufacturing Insertion

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

EUVL: Challenges to Manufacturing Insertion

Critical Challenges of EUV Mask Blank Volume Production

Key Photolithographic Outputs

Evaluation of Technology Options by Lithography Simulation

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Optical Projection Printing and Modeling

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars


EUV Light Source The Path to HVM Scalability in Practice

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Synthesis of projection lithography for low k1 via interferometry

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Registration performance on EUV masks using high-resolution registration metrology

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

From ArF Immersion to EUV Lithography

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

EUV Supporting Moore s Law

OPC Rectification of Random Space Patterns in 193nm Lithography

Lecture 5. Optical Lithography

Optical Microlithography XXVIII

Mask Technology Development in Extreme-Ultraviolet Lithography

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

OPC Scatterbars or Assist Features

Progress of Optical Design for EUV Lithography Tools in BIT

EUV projection optics and active mirror development at SAGEM

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Comparison of actinic and non-actinic inspection of programmed defect masks

Reducing Proximity Effects in Optical Lithography

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Recent Development Activities on EUVL at ASET

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Optical Maskless Lithography (OML) Project Status

What s So Hard About Lithography?

EUV Multilayer Fabrication

Lithography on the Edge

Optolith 2D Lithography Simulator

Purpose: Explain the top advanced issues and concepts in

Carl Zeiss SMT. ACTOP 2008: Presentation Carl Zeiss Laser Optics. H. Thiess. LO-GOO Oct. 9, 2008

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Transcription:

The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction Imaging requirements of future nodes High optics Infrastructure and Technology Summary For public use Seite

Status Alpha Demo Tool nm 4 mj/cm nm 4 mj/cm nm 4 mj/cm nm 4 mj/cm st scanner able to print dense features in single exposure See also: Emerging Lithographic Technologies XII Hans Meiling: Field performance of the EUV alpha demo tools Tuesday, 6 February 8, : PM :5 PM For public use Seite Why EUV? Resolution, Shrink k (nm) 8 AT: 6 XT:4 XT:7i 4 Immersion ASML Product XT:9i Introduction Double patterning NEXT EUV EUV 4 5 6 7 8 9 4 Note: Process development.5 ~ years in advance / updated /7 Year of Production Start* For public use Seite 4

Why EUVL: nm SRAM approaches cell area.6u approx SE limit with.5 and standard design standard layout (optimized).5 more DFM? asym shrink?? more DFM? DPT, PT, 4PT?? Best -way split k=. k=. higher SE or EUV.7 9nm SE.u k relative to.9u target Best 4-way split 8 nm 4 mj/cm nm node target range k=5 k= k=.48 k=.48.5 EUV.6u EUV allows the patterning of complex, dense features in a single exposure step! For public use Seite 5 Outline Introduction Imaging requirements of future nodes High optics Infrastructure and Technology Summary For public use Seite 6

EUV Optics: The future EUV is introduced as a high k technology Node \.5..45 k reduction nm 9.76.7 nm.4.7 6 nm..8 nm..6.7 RES = k λ opportunity EUV will follow the optical path with high and k reduction For public use Seite 7 nm features Dense Lines conventional illumination Dense contacts conventional illumination rtial coherence Partia al coherence.8.7.6.4..8.7.6 best focus...4.5.5.5.5 NILS 75 nm defocus.8.7.6.4....4.8.7.6.5.5.5.5 NILS Par.4.4....4....4 Flare: 6%, WFE: nm rms NILS target: For public use Seite 8 4

nm features Dense Lines conventional illumination Partial coherence.8.7.6.4 best focus.5.5 NILS.8.7.6.4 5 nm defocus.5.5 NILS....4....4 Dense contacts conventional illumination Sigma center σ A.8.7.6.4.5.5.8.7.6.4.5.5....4....4. enables nm patterning with conventional illumination For public use Seite 9 6 nm features Dense Lines Sigm ma center σ A annular illumination.6.8.7 best focus.5 5.5 NILS 5 nm defocus.8.5.7.6 5.5 NILS σ A.4.4....4....4 Dense contacts quasar illumination a center σ Q.8.7.6.5.5.8.7.6.5.5 Sigm σ Q.4.4.....4...4 and even 6nm with off axis illumination For public use Seite 5

nm features Dense Lines Sigma center σ A annular illumination.6 σ A.8.7.4 best focus.5.5 NILS.8.7.6.4 4 nm defocus.5.5 NILS Dense contacts quasar illumination σ Q Sigma center σ Q..8.7.6.4.4.6.5.5..8.7.6.4.4.6.5.5..4.6..4.6 nm can be imaged with >.45 and off axis illumination For public use Seite Outline Introduction Imaging requirements of future nodes High optics Infrastructure and Technology Summary For public use Seite 6

The path to =. Enabling for higher : Slit length 6mm MAG = 4x Larger mirror sizes Stronger aspheres.5. Full field 6 mirror designs can be extended to s around. For public use Seite Apodization is limiting 6M designs center of field (x=) edge of field (x=mm) The larger introduce a high angular load on surfaces which cause significant apodisation effects Balancing of optical and coating design is needed to achieve a (quasi) rotational symmetric apodisation uniform over the field For public use Seite 4 7

>.4 : Way out with central obscuration full field M5 reduced field M5 Central obscuration solves the apodisation issue but limits the field size. Full field designs show big central obscurations. In addition stopping down increases the obscuration ratio. For public use Seite 5 Imaging effect of apodisation and central obscuration., Annular.6-.8 6nm feature size Model pupil: / / Transmission % Area with reduced transmission/obscuration The prime effect of apodisation and central obscuration can be compensated by CD biasing For public use Seite 6 8

Alternative with >.4 8M designs allow unobscured full field systems with. The two additional mirrors cause a reduction of system transmission by at least a factor of. For public use Seite 7 Extending to.7.7 For.7 the only design solutions are obscured 8M systems For public use Seite 8 9

High solution roadmap Solution overview:. 5 7.7 6M 8M unobscured central obscured (smaller fields) There are design solutions for high systems enabling nm and beyond For public use Seite 9 EUVL Roadmap down to nm Res 4 5 nm 6nm nm same lens, enhanced off axis illumination., nm OVL, >wph.4x. +off axis illumination 7nm.5, 4nm OVL For public use Seite

Outline Introduction Imaging requirements of future nodes High optics Infrastructure and Technology Summary For public use Seite EUV Coatings Z-graded multilayers Reflectivity.8 R (-8 )=7%.7.6 R (-8 )=64% R =6%.4 R =58%... period. ML. 6 9 5 8 4 Incidence angle, degrees Layer thickness, nm 8 d 6 4 d Si d Mo 4 6 8 Bi-layer number Z-grading of EUV multilayers improves the angular acceptance on cost of peak reflectivity and therefore system transmission For public use Seite

Progress in flare reduction ades) MSFR [nm rms] (evaluated over 4.6 deca,55,5,45,4,5,,5,,5,,5 MET test mirrors mirror on-axis Set Set Set test mirror (MSFR opt.) AD-tool 6 mirrors off-axis setup POB 6% flare tools Pre production tool 8% flare Production tools 4 5 6 7 8 9 4 5 Status: Flare Level < 8% Figure =.4 nm rms MSFR =. nm rms HSFR =.7 nm rms Target: % Flare (6M) Flare is calculated for a µm line in a bright field Flare will be improved further to secure high imaging performance for smaller feature sizes For public use Seite Impact of CRAO change () Chief Ray Angle on Object side (α) EUV optics has to be non-telecentric on reticle side. Actual standard is 6, this limits the to <.4 (Mag 4x). For larger s the CRAO has to be increased accordingly. α Example: HV mask bias (nm) required H 8 7 6 5 4 5 6 7 8 9 CRAO =.45, annular illumination Mask stack wih 67nm TaN absorber For public use Seite 4

) Impact of CRAO change () Att PSM Stack (nm) 7 Required delta Required bias delta bias HV HV Source: Samsung 7nm TaN (magenta) nm Ru (green) nm Si (blue) 4 MoSi bilayer bias (nm 6 5 4 6 7 8 9 CRAO (deg) nm DL required delta bias HV =.45 Quasar The impact of a change of CRAO is not well understood today in full extent. Our view is that there are no showstoppers for an increase to 9 - allowing full field optics with 5 The actual assumption is that there will be mask stacks where on the effect on imaging by larger CRAOs can be compensated by biasing without critical impact. The only alternative for >.4 would be to go to higher Mag (5x, 6x, 8x) which would limit the usable field size in dimensions with significant impact on productivity or require larger mask sizes. For public use Seite 5 EUV Source power wph (full field optics) Source: Cymer For public use Seite 6

EUV source power vs. resist sensitivity Power [Watt @ IF IB] 6 4 8 6 4 4 Resist sensitivity [mj/cm^] 8 mirror POB 6 mirror POB @ wph To keep the required source power (at intermediate focus in band) in a realistic range, resist sensitivity must target mj /cm For public use Seite 7 Effective etendue for off axis illumination Conventional Annular Quasar 45 σ out σ out σ in σ out σ in σ out =.8 σ in =. σ out =.8 σ in =.6 σ out =. σ in =.6 Setting Conventional Annular Quasar 45 σ.8.6-.8 6-.6-. relative etendue (@fixed slit size) =.5 =. =.45..6..4.7.4 8.8 6.6 Off axis illumination will reduce the effective etendue the illumination system can accept from the source. Therefore the source etendue covering the full source power has to be small enough to avoid any productivity loss for these settings. Since the etendue grows with, in future larger source etendues can be accepted or more aggressive off axis settings can be used. For public use Seite 8 4

Resist effect on imaging relative contra ast loss..8.6.4.. 7 7 7 half-pitch (nm) flare flare + aberrations flare + aberrations + 5nm resist blur flare + aberrations + nm resist blur flare + aberrations + 5nm resist blur : L/S Assumptions: Flare: 4% system, 5% mask trans Aberration: nm RMS Resist treated as a Gaussian (σ) image blur Relative contrast loss calculated based on MTF contrast Resist diffusion has strongest effect on contrast loss. For pattern transfer of very fine features significant improvement of resist blur (diffusion) to finally 5nm are needed See also: Advances in Resist Materials and Processing Technology XXV K. van Ingen Schenau: Photoresist-induced contrast loss and its impact on EUV imaging extendibility Wednesday, 7 February 8 9:4 AM : AM For public use Seite 9 Outline Introduction Imaging requirements of future nodes High optics Infrastructure and Technology Summary For public use Seite 5

Summary: the extendibility of EUVL There are solutions visible for high design to.7. The challenge will be to find full field designs with optimum transmission to enable high productivity. Off axis illumination will allow reduction of k to ~.4. These together will enable the printing of nm dense features in single exposure mode and even beyond. Improvements in polishing and coating technologies are expected to support this progress. Mask technology has to follow the resolution roadmap accordingly. For larger s the CRAO has to be increased and the layer stack to be adapted. The expectation is that neither increase of Mag and no larger masks are needed. Very important are improvements of resists: Resist sensitivity have to target mj/cm to keep the required source power in a realistic target range of some W @ wph tput. To enable pattern transfer of features down to nm the resist blur (diffusion length) has to be reduced to at least 5nm. For public use Seite ACKNOWLEDGEMENT Thanks to the EUV teams at ASML and Carl Zeiss SMT The activities received funding by the European Commission in the project "More Moore" and by various national European governments including the German Federal Ministry of Education and Research in the program MEDEA+. For public use Seite 6