Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Similar documents
research in the fields of nanoelectronics

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

MAPPER: High throughput Maskless Lithography

(Complementary E-Beam Lithography)

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

EUVL getting ready for volume introduction

Electron Beam Lithography. Adam Ramm

Improving registration metrology by correlation methods based on alias-free image simulation

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Progresses in NIL Template Fabrication Naoya Hayashi

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Intel Technology Journal

Imec pushes the limits of EUV lithography single exposure for future logic and memory

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

Micro- and Nano-Technology... for Optics

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

Competitive in Mainstream Products

Inspection of templates for imprint lithography

Update on 193nm immersion exposure tool

Materials Characterization Cluster A new European initiative

Litho Metrology. Program

Design Rules for Silicon Photonics Prototyping

FRAUNHOFER INSTITUTE FOR PHOTONIC MICROSYSTEMS IPMS. Application Area. Quality of Life

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Optics for EUV Lithography

Introduction of ADVANTEST EB Lithography System

Changing the Approach to High Mask Costs

Evaluation of Technology Options by Lithography Simulation

AN ANALYSIS: TRADITIONAL SEMICONDUCTOR LITHOGRAPHY VERSUS EMERGING TECHNOLOGY (NANO IMPRINT) Robert L. Wright Kranthi Mitra Adusumilli

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

Precisely Assembled Multi Deflection Arrays Key Components for Multi Shaped Beam Lithography

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

EXPOSURE TIME COMPARISON BETWEEN E-BEAM WRITER WITH GAUSSIAN BEAM AND VARIABLE SHAPED BEAM

One-Stop-Shop for. Research Fab Microelectronics Germany

Lithography Industry Collaborations

Lithography. International SEMATECH: A Focus on the Photomask Industry

Challenges of EUV masks and preliminary evaluation

Optical Maskless Lithography - OML

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Atlas 46 novel negative tone photoresist which combines the good properties of the established SU-8 and CAR 44

Ion Beam Lithography next generation nanofabrication

Imaging for the next decade

Energy beam processing and the drive for ultra precision manufacturing

16nm with 193nm Immersion Lithography and Double Exposure

Micro- and Nano-Technology... for Optics

Golden Curve Method for OPC Signature Stability Control in high MEEF Applications

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

Optical Microlithography XXVIII

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Scope and Limit of Lithography to the End of Moore s Law

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Metrology in the context of holistic Lithography

The SEMATECH Model: Potential Applications to PV

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Fraunhofer IZM - ASSID

The Need for Multiple Alternatives for sub-20 nm Lithography

ISMI Industry Productivity Driver

EUV Substrate and Blank Inspection

Registration performance on EUV masks using high-resolution registration metrology

FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS

The future of lithography and its impact on design

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Status and Challenges for Probe Nanopatterning. Urs Duerig, IBM Research - Zurich

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Beyond Immersion Patterning Enablers for the Next Decade

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

HOW TO CONTINUE COST SCALING. Hans Lebon

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

EUV Supporting Moore s Law

Multi-aperture camera module with 720presolution

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Advanced Packaging Solutions

Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany

Saxony the Organic Electronics State

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Optical Proximity Effects

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Comparison of actinic and non-actinic inspection of programmed defect masks

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Transcription:

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing May 24, 2006 Lithography Forum Johannes Kretz

Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment (Qimonda, Fraunhofer, VISTEC, IMS) Technical Results Future: ML2 Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 2

Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment (Qimonda, Fraunhofer, VISTEC, IMS) Technical Results Future: ML2 Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 3

Motivation Decision Mid 2004 for acquisition of a Variable Shaped Electron Beam Lithography in Dresden for shared use of Infineon and AMD E-Beam Business Cases for support of R&D existing E-Beam has great potential to facilitate optical litho, technology development and product engineering (mask cost, time to silicon) Further, more sophisticated applications to a later date conceivable Therefore participation of Infineon/Qimonda in European Programs for Mask less Lithograph (ML2) Manufacturing Lithography Strategy of Qimonda unchanged Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 4

Center of Competence E-Beam at QD P RDC Own Group at Qimonda Dresden Research & Development Center (Head: Berndt Tropper) with additional resources from optical litho department, integration and etch (total: 9 persons) Main objective: device learning <50nm nodes Tool-set at Fraunhofer CNT Main tool usage and responsibility for E-Beam cluster Leica SB351 + Linux fracturing cluster TEL ACT-12 dedicated to E-Beam 200m and 300mm capability, currently focus on 300mm Cooperation with VISTEC and IMS Chips (Stuttgart) for resist screening Resist process transfer from IMS Chips Setup of lithography service for internal customers Use for external customers possible (patterning only) Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 5

E-Beam Direct Write: Possible Applications Test Structures for technology learning and tool qualification Personalization ASIC Structuring Ground rule, cell concept and device learning Metal fix applications for 130nm and 90nm technologies Increasing complexity Chip shuttle for macro verification Main focus Qimonda Main focus for logic companies Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 6

Timeline E-Beam Project 2005 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 Site Accept E-Beam Tool Delivery CNT Transfer Resist Process Final Acceptance 2006 2007 Support Device 1 layer Ready for Service Center for (external) customers Column Upgrade Support Device >1 layer 45nm node capability 32nm node capability Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 7

E-Beam Cluster in CNT Clean room Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 8

Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment (Qimonda, Fraunhofer, VISTEC, IMS) Technical Results Future: ML2 Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 9

Project Construct Collaboration between Qimonda, CNT and external Partners Resist benchmarking & process development @ IMS Chips Timeframe: October 04 Mid 08 National Funding (BMBF with Fraunhofer: SWITCH, SOHAR) European Programs (FOREMOST) Synergies to other (NGL) technologies Mask applications Nano-imprint (resist process) EUV S J DD Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 10

Mission or Fraunhofer CNT Center Nanoelectronic Technologies a public-private partnership the most efficient platform to develop innovative processing solutions for fast implementation in microelectronic devices in the nanoelectronic era in Germany / Europe page 11

Research Challenge: Fast Integration of Innovative Processes/Technologies and Equipment into Manufacturing Sequential > 5 years Basic Research Applied Research Development Product Technology Pilot Product Volume Ramp No Feedback Independent Technology driven Integrated Basic Research Applied Research ~ 3 years Development Product Technology Pilot Product Volume Ramp Fast Feedback from market Interacting Application driven CNT page 12

European Nanotechnology Platform - Concept page 13

CNT Competence Areas for High-Performance Data Processing and Logic Areas Competence Reference Fab Materials Unit Process & Modules Equipment New materials - storage cell - isolation concepts - conductor -transistor Metrology and Analytics Innovative Process Solutions Enabling Patterning AMD Fab 36 300mm IFX SC300 300mm page 14

CNT Layout acces to CNT offices page 15

Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment (Qimonda, Fraunhofer, VISTEC, IMS) Technical Results Future: ML2 Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 16

Resist Benchmarking at IMS Chips Extensive benchmarking of >30 positive and negative chemically amplified resists for direct write applications performed at IMS Chips Multiple (small volume) samples supplied by all major resist vendors Identification of p& n-cars for 50nm DRAM node applications meeting basic resist requirements Resist improvement clearly visible Multiple learning cycles observed with several suppliers Commercialization of most advanced samples Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 17

P-CAR Benchmarking Result (dense pattern) 50nm 55nm 60nm 70nm 100nm Resist F Resist G Resist I Resist J Resist K Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 18

Status Exposure Results: Negative Resist 50nm L/S iso dense (7-lines) dense (wide lines) 70nm Dots (Pitch 180nm) Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 19

Status Exposure Results: Positive Resist 50nm L/S iso dense (7-lines) dense (wide lines) 70nm CH (Pitch 180nm) Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 20

Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment (Qimonda, Fraunhofer, VISTEC, IMS) Technical Results Future: ML2 Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 21

PML2 Project Status IMS Nanofabrication IMS-Jena

PML2 E - beam evolution at Vistec Device engineering Fast prototyping Low volume production Litho ++ Litho+ Litho VB6 SB350DW PML2 R&D Project Gaussian Beam Shaped Shaped Beam Beam Multi Beam Array Multi Beam 1 Gaussian pixel / flash Beam 100 pixels / flash > 10,000 pixels / flash Increasing throughput Increasing complexity SEMATECH Litho Forum Vancouver, 22-May-2005 IMS Nanofabrication 23

PML2 Multi e-beam, single column Single Electron source 5keV Condenser Optics Programmable Aperture Plate System (APS) 100keV 200x Reduction Projection Electrostatic / Magnetic Optics Scanning Wafer Stage SEMATECH Litho Forum Vancouver, 22-May-2005 IMS Nanofabrication 24

PML2 Throughput estimation Feature POC tool Beta tool Technology node 45 nm 45 nm Resist 36 µc/cm² 18 µc/cm² APS size aperture size/ number 20x20 mm² 5µm/ 0.3Mio 40x40 mm² 5µm/ 1.2Mio Blanking rate 1 MHz 8 MHz Current in column 50% beams on 0.45 µa 3.5 µa Total blur < 10 nm 13 nm v-stage 0.025 m/s 0.2 m/s Throughput (12 wafer) 0.1 WPH 1.3 WPH Potential: 5 WPH Potential: 5 WPH sophisticated stage required (0.5 1m/s) Results are based on: - 0.1s stage turn-around time, 120s overhead/ wafer - calculation of 3rd / 5th order electron optical aberrations - Monte Carlo simulation of all space charge effects (ray tracing) SEMATECH Litho Forum Vancouver, 22-May-2005 IMS Nanofabrication 25

Summary VSB E-Beam Lithography established in Dresden Patterning Ready for Internal and External Customers Use for 300mm applications for future device and technology learning Use of FhG network and National / European funding for process development Process-learning for future mask-less litho tools Strong potential for mask-less lithography in R&D Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 26

Acknowledgement The Qimonda part of the work for this paper was partly supported by the EFRE fund of the European Community and by funding of the State Saxony of the Federal Republic of Germany (project number 10746) and partly by the Federal Ministry of Education and Research of the Federal Republic of Germany (Project No 01M3167A). The authors are responsible for the content of the paper. Thanks to: Center of Competence E-Beam, Frank-Michael Kamm VISTEC Jena Karl-Heinz Kliem, Elke Kilgus, Monika Böttcher, Uli Denker, Lutz Bettin, Bernd Brendel, Dirk Beyer, Hans-Joachim Döring IMS Chips Mathias Irmscher, Anatol Schwersenz, Holger Sailer Fraunhofer CNT Peter Kücher Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 27

Team of E-Beam Competence Center Christian Arndt (optical litho) Maik Bootsmann (integration) Kang-Hoon Choi (resist, track, metrology) Christoph Hohle (resist screening and process) Katja Keil, PhD Johannes Kretz (project manager) Tarek Lutz (device, alignment, proximity, tool) M. Tesauro (etch) Frank Thrum (Data Prep, KERF, fracturing) Qimonda Johannes Kretz QD P RDC EBEAM May 24, 2006 Page 28

Thank you The World s Leading Creative Memory Company Johannes Kretz