IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Similar documents
Progress in full field EUV lithography program at IMEC

EUVL getting ready for volume introduction

Novel EUV Resist Development for Sub-14nm Half Pitch

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Optics for EUV Lithography

R&D Status and Key Technical and Implementation Challenges for EUV HVM

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Negative tone development process for double patterning

Advanced Patterning Techniques for 22nm HP and beyond

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Imec pushes the limits of EUV lithography single exposure for future logic and memory

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

EUV Interference Lithography in NewSUBARU

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Imaging for the next decade

Line Width Roughness Control for EUV Patterning

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

EUV Lithography Transition from Research to Commercialization

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Lithography Industry Collaborations

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

OPC Scatterbars or Assist Features

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

From ArF Immersion to EUV Lithography

Evaluation of Technology Options by Lithography Simulation

Status and challenges of EUV Lithography

Update on 193nm immersion exposure tool

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Business Unit Electronic Materials

Progresses in NIL Template Fabrication Naoya Hayashi

Reducing Proximity Effects in Optical Lithography

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Challenges of EUV masks and preliminary evaluation

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

2009 International Workshop on EUV Lithography

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUV Light Source The Path to HVM Scalability in Practice

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Mask Technology Development in Extreme-Ultraviolet Lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

Impact of EUV photomask line edge roughness on wafer prints

EUVL: Challenges to Manufacturing Insertion

EUV lithography: status, future requirements and challenges

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Registration performance on EUV masks using high-resolution registration metrology

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

SEMATECH Defect Printability Studies

Competitive in Mainstream Products

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

What s So Hard About Lithography?

MICROCHIP MANUFACTURING by S. Wolf

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Synthesis of projection lithography for low k1 via interferometry

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Nikon EUVL Development Progress Update

16nm with 193nm Immersion Lithography and Double Exposure

Process Optimization

Mask magnification at the 45-nm node and beyond

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

In-line focus monitoring and fast determination of best focus using scatterometry

Optimizing FinFET Structures with Design-based Metrology

EUV lithography: today and tomorrow

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

High-NA EUV lithography enabling Moore s law in the next decade

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

OPC Rectification of Random Space Patterns in 193nm Lithography

EUV Substrate and Blank Inspection

Optical Microlithography XXVIII

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Transcription:

IMEC update A.M. Goethals IMEC, Leuven, Belgium

Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist : polymer bound PAG 2

Advanced Litho Program 06-08 Sub-program 1 Immersion Litho Extendibility Sub-program 2 Double Patterning Lithography Sub-program 3 Extreme UV Lithography Hyper NA imaging & OPC Alternative mask stacks High index liquids & res. Baseline processes XT:1700i assessment Alternative process schemes Design split & OPC DP based integration DP manufacturability EUVL resists EUVL reticles EUV Alpha Demo Tool Assessment Sub-program 4 Resist fundamentals K LUP (LWR, LUP resolution, sensitivity), alternative resists/development, defectivity. 3

ASML EUV Alpha Demo Tool (ADT) at IMEC First Light Apr 2007 Arrival Aug 2006 installed by Dec 2006 First Wafer May 2007 4

ADT imaging 40nm V and H lines through dose 17.55 mj 17.8mJ 18.05mJ 18.3mJ 18.55mJ Vertical lines 42.8nm 40.9nm 38.2nm 33.6nm 34.2nm Horizontal lines 44.5nm 44.4nm 41.3nm 40.6nm 40.5nm Resist: Rohm Haas MET-2D Thickness 100nm Resist: Rohm Haas MET-2D NA=0.25, σ=0.5 Thickness 100nm Lens aberration reduction not finalized yet 5

ADT imaging 35nm V and H lines through dose 18.05mJ/cm 2 18.3mJ/cm 2 18.55mJ/cm 2 Vertical lines 39.1nm 37.nm 37.8nm Horizontal lines 44.3nm 43.8nm 43.5nm Resist: Rohm Haas MET-2D Thickness 100nm NA=0.25, σ=0.5 Lens aberration reduction not finalized yet 6

ASML EUV ADT EUV Tool SPECs Lens flare <16% NA Range 0.15 to 0.25 step < 0.01 Field Size Imaging - Dense Lines 26 x 24; max X 16 x 27; max Y 40 nm - Isolated Lines 30 nm - iso/dense contacts 55 nm Wafer size : 300 mm Reduction ratio : 4X Interfaced to TEL ACT12 6 inch reticles (according to ADT specification) Illumination mode : Conventional, sigma=0.5 7

ASML EUV ADT Supporting equipment KLA-T ecd1, ecd2 Hitachi S-9380II and CG4000 CD-SEM KLA-T SCD100 & SCD XT100 scatterometry KLA-T 2351 and 2800 defect inspection (patterned wafers) KLA-T SP2 defect inspection (unpatterned wafers) KLA-T Archer AIM overlay Access to ADT? Partners in the IMEC IIAP lithography program If interested to join the program, please contact Kurt Ronse, Director lithography Kurt.Ronse@imec.be Outgassing specifications Resist should pass the ASML witness plate protocol 8

EUV resist project Key objectives EUV resists Benchmark EUV resist performance versus requirements Drive EUV resist suppliers Demonstrate EUVL ability for small electrically working circuits Build fundamental understanding for EUV resist out-gassing requirements for EUVL high volume manufacturing 50nm 40nm 30nm 25nm Resist Screening at PSI RGA Energetiq source in close collaboration with all major resist suppliers worldwide Optics chamber Process Wfr/reticle chamber loadlock EUV Technology Outgassing Tool 9

Line Edge Roughness versus sensitivity LER results on 50nm lines (Interference lithography) 12 10 25nm resolved 3 sigma LER [nm] 8 6 4 2 MET-2D ITRS Target 0 0.0 10.0 20.0 30.0 40.0 50.0 dose [mj/cm2] 10

Line Edge Roughness versus sensitivity LER results on 50nm lines (Interference lithography) 12 10 EUV-77 EUV-55 25nm resolved 3 sigma LER [nm] 8 6 4 2 EUV-72 EUV-38 MET-2D EUV-73 ITRS Target 0 0.0 10.0 20.0 30.0 40.0 50.0 dose [mj/cm2] Best overall performing resist is EUV-72 (high sensitivity with ~25nm resolution). Poster RE-P10 EUV resist process development for full field imaging, A. Niroomand et al 11

Overall best resist Q3 2007 EUV-72 resist 50 nm +-104 nm 30nm 45 nm 25nm 40 nm +-100 nm 32.5 nm +-97 nm Energy size Exp. Lat. (50nm) LER (3σ) (50nm) Resolution 9.6 mj/cm 2 27% 5.9nm 50 nm ~25 nm 12

Assessment of Polymer-Bound PAG resists K LUP has been determined for three EUV resists using EUV interference lithography Polymer-bound PAG + blended PAG Polymer-bound PAG B Polymer-bound PAG C Apart from the PAG the three formulations are similar: same backbone and same acid labile group Lithographic performance of three resists are compared to EUV reference resist MET-2D RE-08 Performance assessment of novel resist approaches for EUV lithography using a single figure of merit, D. Van Steenwinckel, R. Gronheid, F. Van Roey 13

Assessment of Polymer-Bound PAG resists p λ ν E s d EL LWR L d K LUP (nm) (nm) (s -1 ) (mj/cm 2 ) (nm) (nm) (nm) MET-2D 100 13.4 2.24E+16 22.7 90 0.12 8.1 32 0.73 90 13.4 2.24E+16 24.6 90 0.11 8.7 32 0.83 Blend A 100 13.4 2.24E+16 22.8 80 0.17 6.3 26 0.62 90 13.4 2.24E+16 25.0 80 0.16 6.1 26 0.66 EUV-B 100 13.4 2.24E+16 41.1 80 0.21 4.9 17 0.43 90 13.4 2.24E+16 45.2 80 0.23 4.4 17 0.49 EUV-C 100 13.4 2.24E+16 37.7 80 0.23 4.6 13 0.28 90 13.4 2.24E+16 42.0 80 0.24 4.8 13 0.36 Observations: LWR of novel resist concepts is considerably improved Lower L d gives larger EL as a bonus The novel materials B and C show substantially larger sizing doses Yet, novel materials exhibit significantly lower K LUP values RE-08 Performance assessment of novel resist approaches for EUV lithography using a single figure of merit, D. Van Steenwinckel, R. Gronheid, F. Van Roey 14

Assessment of Polymer-Bound PAG resists 0.8 0.7 0.6 0.5 KLUP 0.4 0.3 0.2 0.1 0 MET-2D Blend A EUV-B EUV-C POR Conventional Target 32HP Polymer-bound PAG resists show very promising results EUV-C exhibits lowest K LUP so far Blend-A and EUV-B show intermediate results RE-08 Performance assessment of novel resist approaches for EUV lithography using a single figure of merit, D. Van Steenwinckel, R. Gronheid, F. Van Roey 15

16