Progresses in NIL Template Fabrication Naoya Hayashi

Similar documents
Development of Nanoimprint Mold Using JBX-9300FS

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Challenges of EUV masks and preliminary evaluation

2009 International Workshop on EUV Lithography

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Inspection of templates for imprint lithography

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Introduction of ADVANTEST EB Lithography System

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Mask Fabrication For Nanoimprint Lithography

SEMATECH Defect Printability Studies

Advanced Patterning Techniques for 22nm HP and beyond

Defect inspection of imprinted 32 nm half pitch patterns

(Complementary E-Beam Lithography)

Novel EUV Resist Development for Sub-14nm Half Pitch

Analysis of critical dimension uniformity for step and flash imprint lithography

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Process Optimization

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Mask Technology Development in Extreme-Ultraviolet Lithography

Imec pushes the limits of EUV lithography single exposure for future logic and memory

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

EUVL Challenges for Next Generation Devices

High Throughput Jet and Flash* Imprint Lithography for semiconductor memory applications. Abstract

EUVL getting ready for volume introduction

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Evaluation of the Imprio 100 Step and Flash Imprint Lithography Tool

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Toward 5nm node ; Untoward Scaling with Multi-patterning

Hard Disk Drive Industry Driving Areal Density and Lithography

Registration performance on EUV masks using high-resolution registration metrology

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

EUV Interference Lithography in NewSUBARU

Update on 193nm immersion exposure tool

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Defect printability of thin absorber mask in EUV lithography with refined LER resist

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Line Width Roughness Control for EUV Patterning

Evaluation of Technology Options by Lithography Simulation

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

CRITICAL DIMENSION CONTROL, OVERLAY, AND THROUGHPUT BUDGETS IN UV NANOIMPRINT STEPPER TECHNOLOGY

Ion Beam Lithography next generation nanofabrication

Quantized patterning using nanoimprinted blanks

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Litho Metrology. Program

The Development of Full Field High Resolution Imprint Templates

Image placement issues for ITO-based step and flash imprint lithography templates

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

EUVL: Challenges to Manufacturing Insertion

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Mask magnification at the 45-nm node and beyond

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

DSA and 193 immersion lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Multi-beam mask writer MBM-1000 for advanced mask making

Lithography on the Edge

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

co-located with SPIE Scanning Microscopies

High-Risk Technology Development

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Improving registration metrology by correlation methods based on alias-free image simulation

Micro Photonics, Berlin

Impact of EUV photomask line edge roughness on wafer prints

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Optical Maskless Lithography (OML) Project Status

Energy beam processing and the drive for ultra precision manufacturing

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Lecture 8. Microlithography

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Critical issue of non-topcoat resist for ultra low k 1 lithography

Strategies for low cost imprint molds

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

Line edge roughness on photo lithographic masks

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Scope and Limit of Lithography to the End of Moore s Law

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

for alternating phase shift mask fabrication

Comparison of actinic and non-actinic inspection of programmed defect masks

Transcription:

Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd.

Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement 100keV EB writing results 50keV EB writing results 3. NIL mask metrology results 4. Imprinted pattern results 5. Summary 1

Motivation for Nanoimprint mask development Nanoimprint lithography is counted as a litho solution candidate of hp32nm and below. But has potential issue in: 1) Defect control (both in wafer process and mask) 2) Overlay 3) Throughput Recently, cross point memories are expected to be a reasonable candidate for nanoimprint utilization. 1) Requires extremely fine pattern 2) Defect and overlay requirements may be relaxed Our current highest priority in mask development is on the resolution improvement. 2

Nano-imprint mask process flow Electron beam Resist Chrome Quartz Exposure Development Chrome etching Resist stripping Quartz etching Chrome stripping Quartz template!! For high resolution pattern making In material, thinner Chrome and resist is used. In Process, high performance EB machine usage and process optimization are evaluated. 3

Tools and Materials Exposure tool 100keV spot beam EB writer (JBX9300, ELS-7000) 50keV VSB EB writer (4X photomask manufacturing tool) Resist materials Non CAR (Positive tone) Measurements tool CD-SEM (LWM9000) Image placement measurement (LMS IPRO) Cross section-sem (Ultra) Imprint tool Imprio 250 4

Template resolution images with 100keV EB writer (Dense line) Chrome images after quartz etching Magnification: 150k Quartz images (cross sectional view) No picture hp32nm hp24nm hp22nm hp20nm hp18nm hp16nm 5

Resist images Template resolution images with 100keV EB writer (Hole) Magnification: 150k Chrome images after quartz etching hp32nm hp28nm hp24nm hp22nm hp20nm Cross sectional images 6

Resist images Template resolution images with 100keV EB writer (Dot) Magnification: 150k Chrome images after quartz etching Not resolved hp32nm hp28nm hp26nm hp24nm hp22nm Cross sectional images 7

Next trial Exposure condition and resist optimization Resist images (top view) Magnification: 300k Resist images (cross sectional view) hp16nm hp15nm hp14nm hp13nm 8

hp 15nm Dense line Chrome images after quartz etching (top) Magnification: 300k hp15nm 9

EB writing time calculation 60 50 40 30 52 Temporary hp32nm full field chip Data area: 33 x 26mm Condition Writing data for positive resist (non CAR) 20 10 1 100kev SB: 1month 50keV VSB: 12h 0 50keV VSB 100keV Spot Beam 10

Template resolution images with 50keV EB writer Chrome images after quartz etching (Dense line) Magnification: 150k Chrome images after quartz etching (Hole) hp44nm hp40nm hp36nm hp32nm hp28nm 11

Template pattern images with 50keV EB writer SRAM pattern Magnification: 75k CMOS Litho Test Patterns hp44nm hp40nm hp36nm hp32nm 12

CD uniformity results on templates 50keV 100keV Area 20X20mm (6X6 arrays) hp 32 nm dense line Area 30X24mm (6X5 arrays) hp 32 nm dense line Average : 27.5 nm Range : 5.0 nm 3s : 3.2 nm Average : 29.9 nm Range : 1.3 nm 3s : 1.2 nm 13

LER results of 32nmHP patterns on Templates 50keV 100keV Magnification: 150k CD : 30.2 nm LER 3s : 4.3 nm CD : 32.8 nm LER 3s : 2.9 nm * Average : 10 lines Box length : 700nm 14

[mm] 15 10 5 0-5 -10 50keV Registration results across the field Area 28X24mm (5X3 arrays) hp 32 nm dense line -15-20 -15-10 -5 0 5 10 15 X Y 3s : 6.0 nm 6.0 nm Min : -4.0 nm -4.0 nm Max : 3.0 nm 4.0 nm [mm] [mm] 15 10 100keV 5 0-5 -10-15 Area 30X24mm (4X3 arrays) hp 32 nm dense line -20-15 -10-5 0 5 10 15 20 [mm] X Y 3s : 6.0 nm 6.0 nm Min : -2.0 nm -3.0 nm Max : 4.0 nm 4.0 nm 15

AFM measurements trial Tool:InSight 3DAFM ((Veeco Instruments Inc.) Measurement mode :Enhanced DT mode SEM profile AFM profile hp32nm Space 31.9nm hp28nm CDP15-150C High density Carbon Space 27.3nm hp24nm Space 21.9nm *SEM Measurement CD 16

Imprint results using template by 100keV EB Writer 32nm 22nm Parameter mean standard deviation Line width 31.94 1.84 LWR 3σ 2.37 0.36 Pitch 64.10 2.08 LER 3σ 2.22 0.30 Parameter mean standard deviation Line width 24.51 0.72 LWR 3σ 3.13 0.43 Pitch 44.67 1.51 LER 3σ 3.54 0.36 [nm] 17

32nm Imprint LER measurements using template by 50keV EB Writer 35nm Parameter mean standard deviation Line width 33.20 1.70 LWR 3σ 3.02 0.74 Pitch 66.93 2.37 LER 3σ 2.26 0.40 Parameter mean standard deviation Line width 35.89 1.85 LWR 3σ 3.12 0.38 Pitch 71.55 2.82 LER 3σ 2.08 0.09 [nm] 18

Comparison with EUVL ~LER of 32nmHP EUVL@ASML Nanoimprint@MII EUVL@Nikon 19

Initial trial for full field mask making Presented at SPIE Advanced Lithography 2008 by Samsung. Imprint by Molecular Imprints. 20

Recent Imprinted Results 32nm half pitch r 21

Recent Imprinted Results 32nm half pitch 22

Recent Imprinted Results 28nm half pitch Mag =15k Mag = 50k Mag = 50k 23

Summary NIL mask process with a 100keV spot beam EB and 50keV VSB EB were developed and masks are delivered for evaluation including full chip application. Resolution of the NIL mask manufacturing process for both process were confirmed. 100keV process shows hp16nm resolution for line and spaces on resist, and promising preliminary results for final etched quartz patterns. 50keV process shows hp32nm resolution, potential down to 2x nm, and acceptable writing speed. CD uniformity, pattern roughness, and registration results were acceptable for NIL process development masks. Initial imprint showed good printability. Future works : Defect inspection & Repair 24

Acknowledgement We would like to thank MII people (Molecular Imprints, Inc.) for sharing imprint results. We would like to thank Sean Hand, Max Ho, and Marc Osborn (Veeco Instruments Inc.). We also express our thanks to DNP members involved in this work and Electronic Materials Research Department members. Photomask Japan 2008 25