Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Similar documents
Holistic View of Lithography for Double Patterning. Skip Miller ASML

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Toward 5nm node ; Untoward Scaling with Multi-patterning

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

The Development of the Semiconductor CVD and ALD Requirement

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Advanced Patterning Techniques for 22nm HP and beyond

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Lithography on the Edge

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

The future of lithography and its impact on design

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Optical Microlithography XXVIII

EUVL getting ready for volume introduction

Competitive in Mainstream Products

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Innovation to Advance Moore s Law Requires Core Technology Revolution

Newer process technology (since 1999) includes :

Present Status and Future Prospects of EUV Lithography

Enabling Breakthroughs In Technology

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

(Complementary E-Beam Lithography)

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Scaling of Semiconductor Integrated Circuits and EUV Lithography

16nm with 193nm Immersion Lithography and Double Exposure

Beyond Immersion Patterning Enablers for the Next Decade

Status and challenges of EUV Lithography

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Imaging for the next decade

Negative tone development process for double patterning

Enabling Semiconductor Innovation and Growth

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

EUV Supporting Moore s Law

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Limitations and Challenges to Meet Moore's Law

Optimizing FinFET Structures with Design-based Metrology

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Photolithography I ( Part 1 )

From ArF Immersion to EUV Lithography

Computational Lithography

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

Litho Metrology. Program

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUV lithography: today and tomorrow

Lithography in our Connected World

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Samsung K9G8G08U0M-PCB0 8 Gbit MLC NAND Flash Structural Analysis

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Update on 193nm immersion exposure tool

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DSA and 193 immersion lithography

Market and technology trends in advanced packaging

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

HOW TO CONTINUE COST SCALING. Hans Lebon

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Evaluation of Technology Options by Lithography Simulation

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

IBM POWER7 Server 46J6702 IBM 45 nm Dual Stress Liner SOI CMOS Process with edram

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

+1 (479)

Mask Technology Development in Extreme-Ultraviolet Lithography

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

Chapter 15 IC Photolithography

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Chapter 15 Summary and Future Trends

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Intel Technology Journal

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Design Rules for Silicon Photonics Prototyping

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

MAPPER: High throughput Maskless Lithography

State-of-the-art device fabrication techniques

FinFET vs. FD-SOI Key Advantages & Disadvantages

Process Variability and the SUPERAID7 Approach

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

EUV lithography: status, future requirements and challenges

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Chapter 3 Basics Semiconductor Devices and Processing

Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

Lecture 0: Introduction

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Transcription:

Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Abstract Multiple Patterning for Immersion Extension and EUV Insertion: The lithography roadmap has been challenged in recent years as the projection wavelength scaling stalled relative to feature size since about 2008 corresponding to our 40nm nodes. While the EUV eco-system makes its closing push on commercialization, design-integration-eda teams have joined together to deploy double patterning techniques for building todays devices. Three nodes of spacer double patterning having kept memory scaling forward, and these memory devices now look to begin insertion of spacer quad patterning. The logic community will benefit from the nodes of experience as spacer double patterning works its way into their FinFET and gate patterning schemes. These efforts are a solid investment because sub-10nm nodes will require EUV combined with spacer double patterning and for their cut masks. New materials for traditional double exposure techniques also continue to be introduced which simplify process steps and lower cost. Multi-patterning now, supplemented with EUV in the future, can keep our technologies scaling thru the decade. P. 2

Roadmaps: I have no direct flight option to Taiwan I have no one-mask solution for DRAM Active Image Source: Applied Materials Internal So, I take 2 flights. So, I use 2 exposures. Airline still makes money, Fab still makes money, A little inconvenient. P. 3

The Many Forms of Double Patterning Double Exposure Illumination Splitting Exposure 1 Exposure 2 Combined Image in Resist Reference: Steven Hsu, ASML, SPIE 2003 Line + Cut, and / or Printed Assist Features + removal Reference: Paving the way to full chip level double patterning, Hening Haffner et al, IBM Pitch Division Sidewall Spacer Double Patterning Double Patterning (litho etch litho etch) Reference: 22nm Half-Pitch, Bencher, SPIE 2008 P. 5

The Many Forms of Double Patterning Double Exposure Illumination Splitting Exposure 1 Exposure 2 Combined Image in Resist Reference: Steven Hsu, ASML, SPIE 2003 Line + Cut, and / or Printed Assist Features + removal Reference: Paving the way to full chip level double patterning, Hening Haffner et al, IBM Pitch Division Sidewall Spacer Double Patterning Double Patterning (litho etch litho etch) P. 6

Double Dipole Lithography Decompose Design into Two mask Principal of Double Dipole Lithography Exposure Wafer Two Times w/ 2 Different Masks and Illumination Settings Design Target Combined Image Reference: Double-exposure mask synthesis using inverse lithography, Amyn Poonawala, J.Micro/Nanolith, Oct 2007 P. 7

Double Dipole Lithography This Illumination With This Mask Prints This Pattern Combined Aerial Image Produces This Pattern Y-Pole X-Pole Widely used starting at 32nm Reference: Steven Hsu, ASML, SPIE 2003 P. 8

Illumination Splitting for Contact Holes Original Reference: Ultimate contact hole resolution using immersion lithography with line / space imaging, V. Truffert, IMEC. P. 9

Illumination Splitting for Contact Holes Original Reference: Ultimate contact hole resolution using immersion lithography with line / space imaging, V. Truffert, IMEC. P. 10

Illumination Splitting for Contact Holes Original Reference: Ultimate contact hole resolution using immersion lithography with line / space imaging, V. Truffert, IMEC. P. 11

Illumination Splitting for Contact Holes P. 12

The Many Forms of Double Patterning Double Exposure Illumination Splitting Exposure 1 Exposure 2 Combined Image in Resist Reference: Steven Hsu, ASML, SPIE 2003 Line + Cut, and / or Printed Assist Features + removal Reference: Paving the way to full chip level double patterning, Hening Haffner et al, IBM Pitch Division Sidewall Spacer Double Patterning Double Patterning (litho etch litho etch) P. 13

Line and Cut Double Patterning Single Patterning Example Double Patterning Example Intel 65nm Poly in SRAM Cell Intel 45nm Poly in SRAM Cell and LOGIC core Litho Process Window Tip-Tip Bridging Line Lengthening Parallel Line Mask Trim Mask Line end control is difficult, Especially with dipole illumination Superior Line End Control Reference: a 45nm Logic Technology with High-K+Metal Gate Transistors, K. Mistry et al, Intel P. 14

Array and Cut for Holes Print Dense Holes or Dense Pillars Apply Block or Trim Mask Result = Mixed Pitch random pattern Yellow = Block / Trim Mask Using Array & Cut to Generate Line and Cut Original Reference: Ultimate contact hole resolution using immersion lithography with line / space imaging, V. Truffert, IMEC. P. 15

The Many Forms of Double Patterning Double Exposure Illumination Splitting Exposure 1 Exposure 2 Combined Image in Resist Line + Cut, and / or Printed Assist Features + removal Pitch Division Sidewall Spacer Double Patterning Double Patterning (litho etch litho etch) Reference: 22nm Half-Pitch, Bencher, SPIE 2008 P. 16

Sidewall Spacer Double Patterning 22nm Half-Pitch from ArF Immersion Litho 88nm pitch Etch Mandrel Deposit Spacer Etch Spacer Ash Mandrel Sidewall Spacer Image 22nm line and space 22nm Half-Pitch Typical Patterning Performance: CDU < 5% of ½ pitch LER / LWR < 5% of ½ pitch Reference: 22nm Half-Pitch Pitch Patterning by CVD Spacer Self Alignment Double Patterning, Bencher, SPIE 2008 P. 17

Sidewall Spacer Double Patterning Incredible Success for 5 Generations of NAND 3 levels (Active, Wordline, Bitline) 5 technology nodes (38nm-20nm) 29 million wafers (as of Sept 2013) Source: Semiconductor Silicon Demand Forecast (ver 1104) Scott Jones, IC Knowledge Source: Semiconductor Insights (Report: 0209-21425-O-5DM-10) P. 18

Sidewall Spacer Double Patterning Incredible Success for 5 Generations of NAND > 21,000 meters 3 levels (Active, Wordline, Bitline) 5 technology nodes (38nm-20nm) 29 million wafers (as of Sept 2013) Top of Mount Everest 29 million wafers Bottom of the Mariana Trench P. 19

The Many Forms of Double Patterning Double Exposure Illumination Splitting Exposure 1 Exposure 2 Combined Image in Resist Line + Cut, and / or Printed Assist Features + removal Reference: Paving the way to full chip level double patterning, Hening Haffner et al, IBM Pitch Division Sidewall Spacer Double Patterning Double Patterning (litho etch litho etch) Reference: 22nm Half-Pitch, Bencher, SPIE 2008 P. 20

SADP + Line & Cut Add Dielectric: Trench Cut Remove Conductor: Line Cut Resist Add additional mask content Trim away a segment of spacer Resist BARC BARC Ox HM M1, M2 Oxide Poly Gate Ox Oxide Trenches Shown after BARC etch to reveal spacers Poly Lines Reference: Gridded Design Rule Scaling: Taking the CPU toward the 16nm node, Bencher, SPIE 2009 P. 21

More examples of SADP + Line & Cut DRAM Active: Sidewall Spacer Pattern Trim Mask (BARC Etched) Transfer Etch 35nm Half-Pitch DRAM STI Logic Contacts: X-Pitch = 60nm Image Source: Applied Materials Internal Reference: Gridded Design Rule Scaling: Taking the CPU toward the 16nm node, Bencher, SPIE 2009 P. 22

The Many Forms of Double Patterning Double Exposure Illumination Splitting Exposure 1 Exposure 2 Combined Image in Resist Reference: Steven Hsu, ASML, SPIE 2003 Line + Cut, and / or Printed Assist Features + removal Reference: Paving the way to full chip level double patterning, Hening Haffner et al, IBM Pitch Division Sidewall Spacer Double Patterning Double Patterning (litho etch litho etch) Reference: 22nm Half-Pitch, Bencher, SPIE 2008 P. 23

SADP Interconnect Wiring SADP on Complex (Computer Solved) Mandrels Routing Target SADP Decomposition SADP Process Ready for Copper Feasible for Interconnect Wiring down to 50nm pitch Reference: Density Multiplication Techniques for 15nm nodes, Bencher, SPIE 2011 P. 24

SADP for 15nm Node Logic BEOL Even this becomes unfeasible < 50nm without EUV By 7nm Node, we will need EUV + SADP for BEOL Reference: Density Multiplication Techniques for 15nm nodes, Bencher, SPIE 2011 P. 25

The Many Forms of Double Patterning Double Exposure Illumination Splitting Exposure 1 Exposure 2 Combined Image in Resist Line + Cut, and / or Printed Assist Features + removal Pitch Division Sidewall Spacer Double Patterning Double Patterning (litho etch litho etch) P. 26

Litho-Etch Litho-Etch for Contact / Via P. 27

Litho-Etch Litho-Etch for Line / Trench CD control across stitching regions create design restrictions P. 28

What is the future? DDL & SMO will continue to drive litho process window expansion SADP + Cut will dominate for resolution scaling and CD/CDU/LWR Control The DDL & SMO Mask technologies will increase process windows on the complex SADP Mandrel and cut masks P. 29

Conclusion: SADP with DDL + Cut Mask When EUV arrives, we will combine them all with EUV Bencher, SPIE 2011 Line & Cut Trench & Cut Bencher, SPIE 2011 15nm ½ pitch zig-zag Bencher, SPIE 2009 Spacer DP Exposure 1 Exposure 2 DDL Image Bencher, SPIE 2008 Reference: Steven Hsu, ASML, SPIE 2003 P. 31