Si CMOS Technical Working Group

Similar documents
Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Vision, Key Findings, and Expectations Electronic-Photonic synergy Integration Standardization Cross-market Platforms

EPIC: The Convergence of Electronics & Photonics

Optical Bus for Intra and Inter-chip Optical Interconnects

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide

Convergence Challenges of Photonics with Electronics

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

Silicon-On-Insulator based guided wave optical clock distribution

New advances in silicon photonics Delphine Marris-Morini

Monolithic, Athermal Optical A/D Filter

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane

IBM T. J. Watson Research Center IBM Corporation

Envisioning the Future of Optoelectronic Interconnects:

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade:

- no emitters/amplifiers available. - complex process - no CMOS-compatible

Heinrich-Hertz-Institut Berlin

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects

Opportunities and challenges of silicon photonics based System-In-Package

Silicon Integrated Photonics

Si and InP Integration in the HELIOS project

The MIT Communications Technology Roadmap Program

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Overview of technology for RF and Digital Optical Communications

New silicon photonics technology delivers faster data traffic in data centers

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow

Lecture 4 INTEGRATED PHOTONICS

Silicon Photonics in Optical Communications. Lars Zimmermann, IHP, Frankfurt (Oder), Germany

4-Channel Optical Parallel Transceiver. Using 3-D Polymer Waveguide

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Silicon Photonics Opportunity, Applicatoins & Recent Results. Mario Paniccia, Director Photonics Technology Lab Intel Corporation

Silicon photonics on 3 and 12 μm thick SOI for optical interconnects Timo Aalto VTT Technical Research Centre of Finland

for optical communication system

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

A silicon avalanche photodetector fabricated with standard CMOS technology with over 1 THz gain-bandwidth product

Silicon photonics with low loss and small polarization dependency. Timo Aalto VTT Technical Research Centre of Finland

Silicon Optical Modulator

VERSATILE SILICON PHOTONIC PLATFORM FOR DATACOM AND COMPUTERCOM APPLICATIONS. B Szelag CEA-Leti

INGAAS FAST PIN (RF) AMPLIFIED PHOTODETECTORS

InP-based Waveguide Photodetector with Integrated Photon Multiplication

Physical Layer Modelling of Semiconductor Optical Amplifier Based Terabit/second Switch Fabrics

Optical Amplifiers. Continued. Photonic Network By Dr. M H Zaidi

Silicon Photonics Opportunity, applications & Recent Results

Figure Responsivity (A/W) Figure E E-09.

Silicon Photonics: an Industrial Perspective

Figure 1 Basic waveguide structure

OTemp: Optical Thermal Effect Modeling Platform User Manual

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects

OPTICAL I/O RESEARCH PROGRAM AT IMEC

Physics of Waveguide Photodetectors with Integrated Amplification

2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects

Silicon photonics integration roadmap for applications in computing systems

A tunable Si CMOS photonic multiplexer/de-multiplexer

EE 232 Lightwave Devices Optical Interconnects

Photonic Integrated Beamformer for Broadband Radio Astronomy

DIRECTIONAL FIBER OPTIC POWER MONITORS (TAPS/PHOTODIODES)

Figure Figure E E-09. Dark Current (A) 1.

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

Optoelectronic Components Testing with a VNA(Vector Network Analyzer) VNA Roadshow Budapest 17/05/2016

Lecture 1: Course Overview. Rajeev J. Ram

WDM board-level optical communications

Silicon Photonics and Skorpios Technology Platform. Market Watch ECOC Cannes - September 22, 2014 A. Viglienzoni

Modulators. Digital Intensity Modulators. Analogue Intensity Modulators. 2.5Gb/sec...Page Gb/sec Small Form Factor...Page 3

11.1 Gbit/s Pluggable Small Form Factor DWDM Optical Transceiver Module

DIRECTIONAL FIBER OPTIC POWER MONITORS (TAPS/PHOTODIODES)

WWDM Transceiver Module for 10-Gb/s Ethernet

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS

Silicon Photonics University of Pune Physics Short Course

HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS

Large spontaneous emission rate enhancement in a III-V antenna-led

Optical Receivers Theory and Operation

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm

Optical Interconnection and Clocking for Electronic Chips

Integrated Optoelectronic Chips for Bidirectional Optical Interconnection at Gbit/s Data Rates

Fundamentals of CMOS Image Sensors

Microphotonics The Next Platform for the Information Age

Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography

Detectors for Optical Communications

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Optical Interconnect to Chips

Segmented waveguide photodetector with 90% quantum efficiency

TDM Photonic Network using Deposited Materials

Integrated Nanophotonics Technology Toward fj/bit Optical Communication in a Chip

Monolithic Integration of Chip-scale Photonic Networks in Si-CMOS

Photo-Electronic Crossbar Switching Network for Multiprocessor Systems

Transcription:

Si CMOS Technical Working Group CTR, Spring 2008 meeting Markets Interconnects TWG Breakouts Reception TWG reports Si CMOS: photonic integration E-P synergy - Integration - Standardization - Cross-market Platforms Power - Bandwidth - Latency - Footprint communications - Package technology - roadmap Cost

CMOS Device focused Si will do everything it can III-V will be an ever smaller tip of the pyramid Assumes Interconnect-Packaging-Integration TWG will solve those problems Focus is on the transceiver as the fundamental block necessarily heavily dependent on packaging implementations Provides an implementation roadmap for the IPI TWG and external research groups Provides device implications to the applications in the Xmarket TWG

CMOS: Working Conclusions The transceiver is the driver for a silicon microphotonics platform roadmap. The relevant attributes of a transceiver are aggregate data rate, power consumption, size, and cost. Silicon is the only material platform capable of supporting a standard cross-market, high-volume transceiver in the long term. Optical interconnects will be single mode. Initial optical cabling applications will be multimode, but board-to-board or chip-to-chip will be single mode. WDM will be necessary for aggregate data rates >40Gb/s. A monolithically integrated, stable light source for silicon has no known solution, and an independent optical power supply will be the dominant architecture in the near term. Increasing system parallelism will require roadmap coordination with interconnection from intra-chip to the box-to-box level.

Si CMOS: Questions Key questions: What are the main components/elements? What are the key intermediate product (set) plateaus? What core technologies look promising? What appear to be the hardest problems? Key answer The silicon platform is not about cost, it is about high performance.

The Optical Power Supply Silicon Platform Architecture photon power supply 3dB amplifier waveguides (Si, Si 3 N 4 ) splitters, bends modulators, photodetectors (Ge) monolithic multi-λ electrical injection small footprint process integrated integrated driver circuit

Large Scale Photonic Integration Tool Box Waveguide (VHIC): capacity/ (loss x volume) Amplifier: gain/(power x area x noise) Modulator: speed/ (power x area) Photodetector: (speed x QE)/area Optical power supply: multi-λ (CW); low jitter (pulsed) Couplers/Splitters: board, devices, impedance matching Thermal Stability: pm/ 0 C Package: pluggable, minimum optical pin count E-P circuit theory: time, energy, analog, digital

HIC Amplifier Scaling Law 10 5 FOM (db/mw/cm 2 ) 10 4 10 3 10 2 10 1 slope=2.6 P p =1mW G=3dB A=1mm 2 Physics of Optical Scaling 10 0 10-1 0.1 1 Index Difference!n Performance = gain / (noise x pump power x area) Saini and Michel, MIT

Fiber-Waveguide Coupling Size mismatch of optical modes Index mismatch of materials Alignment of optical fiber Silicon Waveguide (0.2 x 0.5 µm) SiO 2 Cladding MIT Coupling Solutions Staged Mode Transformers Inverted Taper Planar GRIN Lens Optical Fiber Core (8 µm )

Photodetectors (Bandwidth) x (Quantum efficiency) (GHz) 80 70 60 50 40 30 20 10 0 5µm 20µm Q.E: 90% Transit time limit d=0.5µm Waveguide-integrated Photodetector RC time limit 10 2 10 3 10 4 Detector Size (µm 2 ) Broadband, highly efficiency IR Low voltage operation CMOS process flow Waveguide-detector integration photon Discrete, free-space Photodetectors d=2.0µm PD1 (L = 160 µm) PD2 (L = 80 µm) Photocurrent measurement output D. Ahn, J.F. Liu, MIT

Modulators Compact, integrated, Si-compatible Highest power consumption of active devices Modulation efficiency: large EO effect Modulation Depth (% 70 60 50 40 30 20 10!=1550 nm 0 0 1 2 3 4 5 6 7 Reverse Bias (V) SiGe EAM CMOS mask intergration w PD Low voltage 20nm spectral BW 50fJ/bit J. Liu, S. Jongthammanurak, D. Pan, J. Michel and L.C. Kimerling

Thermal Stability Athermal Waveguide Devices: polymer cladding Two parameters to determine athermal conditions: (i) Mode confinement factor Γ: index contrast, geometry & dimension (ii) Materials properties (dn/dt, n) Filter then Detect to Winnie Ye, MIT and Dupont Photonics

CMOS Fabrication Si CMOS FEOL BEOL SiGe <450 <550 750* 900 SiGe CMOS FET & Integration Scenarios

Monolithic DH Si-Ge-Si Laser Design Design Principles Double Heterojunction tensile strain n + Ge doping carrier confinement 10 20 /cm 3 electrons E g _ n + carrier confinement layer n + Ge p + Si n + Ge p + SiGe buffer layers p + Si J th = 3.3 ka/cm 2 η d = 0.15 P o (50mA) = 5.5 mw J.F. Liu et al., Optics Express 15, 11272 (2007) AFOSR MURI, Gernot Pomrenke

Conquering the Last Centimeter the philosophy Integration creates a circuit function. not customized perfect devices A microphotonic circuit contains replicated circuit elements on a standard platform. materials, processes, design and fab tools Silicon Microphotonics is the only option for continued exponential increase in chip performance. Has potential to provide the majority of low-cost photonic interconnects in the medium to long term

CMOS SWOT: EA modulators Strengths Weaknesses Opportunities Threats

CMOS Issues: Transceiver Urgent Not urgent important Not important

Microphotonic Components Device Metric now target waveguide loss/size 1.0 db/cm 10-3 db/cm coupler/splitter loss/size/uniformity 20µm / 0.1dB resonator/filter precision/stability 0.1pm/K modulator speed x extinction 6x10 7 (fj) -1 power x loss photodetector speed x A/W 10 7 GHz A/Wcm 2 footprint optical power supply power efficiency 0.5 amplifier speed x power footprint package BW density E-P circuit theory compatibility

CTR: Phase 2 Technology Targets Materials large area wafers; component integration compatibility Processes tool standardization, common processes, process control, process integration Packaging Infrastructure Test Design an optical chip carrier without a permanent fiber attach chips; boards; backplanes; interbox; LAN; FTTH; MAN; WAN common test platform; wafer level testing; global standard test common design tools; common form factors; reduce complexity; focus on functionality methodology for electronic/photonic partitioning photonic circuit theory to support appropriate simulation tools