It s Time for 300mm Prime

Similar documents
Advancing Industry Productivity

SEMI/Equipment Suppliers Productivity Working Group 450 mm Economic Findings and Conclusions

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

W ith development risk fully borne by the equipment industry and a two-year delay in the main

1 Digital EE141 Integrated Circuits 2nd Introduction

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Silicon Wafer Demand Forecast Update, 4Q03

ISMI Industry Productivity Driver

Competitive in Mainstream Products

2010 IRI Annual Meeting R&D in Transition

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

Update: SOI Wafer Market Continues Its Growth

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

The SEMATECH Model: Potential Applications to PV

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Semiconductor Industry Perspective

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

3Q03 Silicon Wafer Update: Demand Continues Recovery

4Q02 Update: Semiconductor Capacity Still on Hold

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Recent Trends in Semiconductor IC Device Manufacturing

Market and technology trends in advanced packaging

Trends and Challenges in VLSI Technology Scaling Towards 100nm

EUV Supporting Moore s Law

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

21 st Annual Needham Growth Conference

Intel Technology Journal

The Development of the Semiconductor CVD and ALD Requirement

ISMI 450mm Transition Program

1Q04 Update: Silicon Demand Will Move to a Full Recovery

Economic Model Workshop, Philadelphia

The future of lithography and its impact on design

Collaboration: The Semiconductor Industry s Path to Survival and Growth

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Shared Context Is A Force Multiplier

Roadmap Semiconductor Equipment Innovation Agenda

Thermal Management in the 3D-SiP World of the Future

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Mid/Long-Term Management Policy

IMPACT OF 450MM ON CMP

Annual General Meeting May CEO Presentation

(Complementary E-Beam Lithography)

Lithography. International SEMATECH: A Focus on the Photomask Industry

Energy beam processing and the drive for ultra precision manufacturing

Silicon Wafer Demand Outlook: Forecast Update, 2Q03

IEOR 130 Methods of Manufacturing Improvement Fall, 2016 Prof. Leachman Homework Problems #6 Due Tuesday Oct. 11, 2016

ISMI 450mm Transition Program

UNCLASSIFIED. R-1 ITEM NOMENCLATURE PE S: Microelectronics Technology Development and Support (DMEA) FY 2013 OCO

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Electronic Material Systems

Research in Support of the Die / Package Interface

Beyond Moore the challenge for Europe

Semiconductor Process Diagnosis and Prognosis for DSfM

Enabling Semiconductor Innovation and Growth

THE INTELLIGENT REFINERY

Introduction to CMC 3D Test Chip Project

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Lithography Industry Collaborations

ARTEMIS The Embedded Systems European Technology Platform

The Foundry Model is Coming to Molecular Diagnostics, Courtesy of the Semiconductor Industry.

Technology Roadmapping An Overview for MAA Thrust Area Work Groups

We apply nanomanufacturing technology to improve the way people live

R&D Requirements from the 2004 inemi Roadmap. April 7, 2005 Dr. Robert C. Pfahl, Jr. VP of Operations, inemi

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

CMOS Technology & Business Trends

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

HOW TO CONTINUE COST SCALING. Hans Lebon

Capital Planning Retreat Economic Outlook Alan E. Maguire

Growing the Semiconductor Industry in New York: Challenges and Opportunities

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

TAIWAN EXCHANGE STOCK NO : December 26,2018

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Shell Project Delivery Best Practices Dick L. Wynberg, GM NOV Projects Integrated Gas Shell Global Solutions International B.V

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

IFX Day Campeon 07 June Dr. Reinhard Ploss Member of the Management Board Operations, R&D, Labor Director

Operational Intelligence to deliver Smart Solutions

DATASHEET CADENCE QRC EXTRACTION

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE

Lithography in our Connected World

EE 434 Lecture 2. Basic Concepts

Is housing really ready to go digital? A manifesto for change

VLSI Design I; A. Milenkovic 1

SEPTEMBER, 2018 PREDICTIVE MAINTENANCE SOLUTIONS

Scaling of Semiconductor Integrated Circuits and EUV Lithography

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Are You Really Going to Package That? Ira Feldman Debbora Ahlgren

Industry Outlook September 2015

Roadmapping. Break-out Groups: Policy Planning Methods and How They Can Be Used in Policy-making. Ondřej Valenta Technology Centre CAS

UK OIL & GAS SHARE FAIR 2015

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Hard Disk Drive Industry Driving Areal Density and Lithography

Energy Efficient Transmitters for Future Wireless Applications

Transcription:

It s Time for 300mm Prime Iddo Hadar Managing Director, 300mm Prime Program Office SEMI Strategic Business Conference Napa Valley, California Tuesday, April 24, 2007

Safe Harbor Statement This presentation contains forward-looking statements, including those relating to the outlook for the semiconductor industry and R&D investment. These statements are subject to known and unknown risks and uncertainties that could cause actual results to differ materially from those expressed or implied by such statements including, without limitation: the sustainability of demand in the semiconductor and semiconductor equipment industries, which is subject to many factors, including global economic conditions, business spending, consumer confidence, demand for electronic products and integrated circuits, and geopolitical uncertainties; customers capacity requirements, including capacity utilizing the latest technology; the timing, rate, amount and sustainability of capital spending for new technology, such as 300mm and sub- 100 nanometer applications; and other risks described in Applied Materials SEC filings on Forms 10-K, 10-Q and 8-K. All forward-looking statements are based on management s estimates, projections and assumptions as of April 24, 2007, and Applied Materials undertakes no obligation to update any such statements. 2

Consumerization of Semiconductors Non- Consumer 58% Consumer 42% Non- Consumer 43% Consumer 57% 2000 $223B 2010F $326B Source: Gartner Dataquest 3

Consumerization of the Industry Changes Everything Push for advanced technology created fab challenges: High variability in tool operational performance (defects, MTBF, MTBI, MTTR) Fabs incur high costs to contain and control variability Fabs sacrifice cycle time to maintain operational efficiency This is NO LONGER ACCEPTABLE in the consumer era: Cycle time / agility requirements Cost Consumer era also severely curtails available funding across the semiconductor food chain No more Business As Usual 4

Fulfilling Moore s Law Cost ($) Wafer Reduce annual Operating Costs Moore s Law Cost ($) Function Manufacturing Efficiency Technology Innovation Cost ($) Area (cm 2 ) Area (cm 2 ) Wafer Reduce Time to Money, Reduce Ramp -up up time Time Reduce cycle time to Order, Install, Qual and Ramp Up Increase Good Wafer Output Increase yield # of transistors Area (cm 2 ) Wafer Size Conversions Courtesy: Sematech 150-200-300-450mm(NO) Moore s Law Requires Continual Improvement in Cost/Function which Is Driven by Technology Innovations and Manufacturing Ref: 2003 ITRS 5

Economic Impact of Wafer Size Transition Manufacturing Cost Trend Mfrg Costs ($/Cm 2 ) 4.0 3.8 3.6 3.4 3.2 3.0 2.8 2.6 2.4 2.2 2.0 200mm 300mm 500 350 250 180 130 90 65 45 Note: Year 3 of production, Leading Edge Memory Source: Applied analysis of ISMI s Economic Model Technology Generation (nm) 6

Managing Variability Product + Process Variability Higher TPT Equipment Higher Capacity Fab Consumer Era Needs??? Larger Wafer 200mm 300mm transition What s Next? 7

Productivity Space 100% 80% Cycle Time (Days per Mask Layer, normalized) 60% 40% 20% Next-Generation Fab 300mm 0% 75% 100% 125% 150% 175% 200% CoO ($ per Mask Layer, normalized) 8

300mm Investment May NEVER Be Repaid Recouping the Industry s 300mm Investment 30 25 Time for Industry to Break Even (years) 20 15 10 5 0 $0 $10 $20 $30 Total Industry Investment ($ B) Assumptions: Semiconductor industry trending from about $200B at 8% p.a.; 300mm follows a 30-year life cycle, peaking at 50% of silicon area processed (implicitly assuming larger wafer generations); semiconductor companies enjoy 45% gross margin; 55% of semiconductor costs are in wafer fabrication; 30% of die costs can be reduced via 300mm adoption; initial investment is distributed evenly over a six-year period; cost of capital is 20% 9

Equipment R&D Gap $16 $12 Historical R&D Spending Projected R&D Demand (200/300mm only) Affordable R&D Forecast R&D ($B) $8 $4 >$20B Short By 2012 $0 '86 '88 '90 '92 '94 '96 '98 '00 '02 '04 '06E '08F '10F Note: Affordable R/D forecast assumes 14% of equipment industry revenues Sources: S&P, SIA, SEMI, Infrastructure Advisors 10

Productivity Axis 300mm Prime: an evolutionary transition to 450 mm concept 450mm 300mm 300mm Driven mostly by himix business drivers and adopters Today Next several years 450 300mm Prime - strongly influenced by new/emerging business models (high-mix, smaller order sizes, shorter product life cycles ) Time axis 11

12

Source: ISMI, Scott Kramer, 11/6/2006 13

Fulfilling Moore s Law Via 300mm Prime Cost ($) Wafer Reduce annual Operating Costs Moore s Law Cost ($) Function Manufacturing Efficiency Technology Innovation Cost ($) Area (cm 2 ) Area (cm 2 ) Wafer Reduce Time to Money, Reduce Ramp -up up time Time Reduce cycle time to Order, Install, Qual and Ramp Up Increase Good Wafer Output Increase yield # of transistors Area (cm 2 ) Wafer Size Conversions Courtesy: Sematech 150-200-300-450mm(NO) Moore s Law Requires Continual Improvement in Cost/Function which Is Driven by Technology Innovations and Manufacturing Ref: 2003 ITRS 14

Maximizing the Return on Fab Investment Optimize fab operations PRIME Small-lot Manufacturing High Mix, Short Tight Life Equipment Cycle, Consumer-Driven Characterization/ Intelligent Demand Systems Control Universal Single-wafer Processing Build foundation of rapid, differentiated, technology solutions Extend Litho Enable transistor performance Scale interconnect RC Scale memory density (strain) Resolve nano defects 15

300mm Prime : Maximizing the Return on 300mm Investment Definition: The 300 mm Prime program seeks to implement discontinuous improvements in fab productivity of the type historically coinciding with wafer size transitions without incurring the costs and risks associated with a scale-up of tool sets Scope: primary focus will be increased fab agility and reduced cycle time, as a complement to continuous improvements in the productivity of the 300mm tool set Key levers: High-capacity, high-reliability material delivery system small-lot manufacturing Intelligent tools (high predictability) Universal single-wafer processing 16

Mapping 300mm Prime First Wafer Effect Needs/Benefits/Levers Tool Variability Transport & Storage Average setup time time to start processing 1 st wafer % of down time that is unscheduled Variability of time between down time Variability of repair time Wafer wait time at tool inside carrier Variability of carrier delivery time Variability (distribution) of WIP awaiting tool Possible Technological Implementations (Example) Small Carrier Size Single Wafer Processing Wafer Level Tracking 17

System Products Service Products Software Products 300mm Prime Architecture Processing Platforms Automation Platforms Software Platforms Universal Single-Wafer Processing Small-Lot Handling Embedded Intelligence/ Reduced Variability 18

Consumerization of the Industry Changes Everything Business as Usual Sacrificing fab agility Suboptimal (low-roi) investment decisions is NO LONGER ACCEPTABLE in the consumer era Cycle time / agility requirements Resource limitations Fabs need to match the economics of the consumer era Reconfigure tools to enable short cycle time manufacturing and short lead time Small lot size Frequent recipe change 19

20