Evaluation of Technology Options by Lithography Simulation

Similar documents
Optical Microlithography XXVIII

Mask Technology Development in Extreme-Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Innovative Mask Aligner Lithography for MEMS and Packaging

Challenges of EUV masks and preliminary evaluation

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

EUVL getting ready for volume introduction

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Micro- and Nano-Technology... for Optics

2009 International Workshop on EUV Lithography

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Progress in full field EUV lithography program at IMEC

Micro- and Nano-Technology... for Optics

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Advanced Patterning Techniques for 22nm HP and beyond

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Process Variability and the SUPERAID7 Approach

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

SEMATECH Defect Printability Studies

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Process Optimization

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Progresses in NIL Template Fabrication Naoya Hayashi

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Update on 193nm immersion exposure tool

(Complementary E-Beam Lithography)

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Development Activities on EUVL at ASET

Status and challenges of EUV Lithography

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

OPC Rectification of Random Space Patterns in 193nm Lithography

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Imaging for the next decade

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

EUV Lithography Transition from Research to Commercialization

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Comparison of actinic and non-actinic inspection of programmed defect masks

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

OPC Scatterbars or Assist Features

Lithography on the Edge

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

EUVL: Challenges to Manufacturing Insertion

Lithography Industry Collaborations

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Lecture 8. Microlithography

From ArF Immersion to EUV Lithography

Next-generation DUV light source technologies for 10nm and below

Optolith 2D Lithography Simulator

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Purpose: Explain the top advanced issues and concepts in

Pupil wavefront manipulation for optical nanolithography

Registration performance on EUV masks using high-resolution registration metrology

16nm with 193nm Immersion Lithography and Double Exposure

Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node

EUV Interference Lithography in NewSUBARU

Beyond Immersion Patterning Enablers for the Next Decade

Optics for EUV Lithography

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Feature-level Compensation & Control

T in sec, I in W/cm 2, E in J/cm 2

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Pellicle dimensions for high NA photomasks

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Transcription:

Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011

Outline Introduction: Resolution limits of optical and EUV lithography ArF immersion/double patterning: process interactions in double patterning EUV lithography: impact of multilayer mask defects Lithography beyond semiconductor manufacturing: source & mask optimization for mask aligners Conclusions and Outlook Semicon Europe, October 12, 2011 2

Introduction: Resolution Limit ArF Immersion Lithography: Single Patterning aerial image resist footprint λ=193nm, NA=1.35, circular illum. σ=0.9 k 1 > 0.7: perfect imaging 0.25 < k 1 0.7: optical proximity effects: OPC/SMO required k 1 = 0.25: theoretical limit of half pitch (HP) for single exposure Semicon Europe, October 12, 2011 3

Introduction: Resolution Limit ArF Immersion Lithography: Single & Double Patterning single patterning exposure double patterning exposure 1 exposure 2 k 1 0.25 impossible k 1 = 0.14 possible 20nm features requires extensive SMO, two masks and additional process steps manufacturable but (very) expensive Semicon Europe, October 12, 2011 4

Introduction: Resolution Limit EUV Lithography: Single Patterning aerial image resist footprint λ=13.5nm, NA=0.32, circular illum. σ=0.7 k 1 > 0.75, 32nm: no OPC required k 1 > 0.45, 19nm: doable with standard OPC k 1 0.24, 17nm: requires more aggressive OPC/SMO Semicon Europe, October 12, 2011 5

Outline Introduction: Resolution limits of optical and EUV lithography ArF immersion/double patterning: process interactions in double patterning EUV lithography: impact of multilayer mask defects Lithography beyond semiconductor manufacturing: source & mask optimization for mask aligners Conclusions and Outlook Semicon Europe, October 12, 2011 6

ArF Immersion Lithography / Double Patterning Challenges Process Control Manufacturable Source & Mask Optimization Process Interactions Costs Support by Full Physical Lithography Simulation Parameter variation: source shape fidelity, laser bandwidth, aberrations, mask errors and mask topography induced phase/aberration effects, resist processing, enhancement of metrology Verification of less accurate OPC-like models: mask topography effects, full physical resist modeling, rigorous SMO for small areas Investigation of wafer topography effects, resist/material interactions Complementary to expensive, time consuming experiments Semicon Europe, October 12, 2011 7

Process Interactions in Double Patterning Crossed Lines: Contact Formation Using Litho-Curing-Litho-Etch cure spin-on and lithography spin-on resist 21 resist 2 H. Nakamura et al. J. Micro/Nanolith.MEMS MOEMS, 2008, 7, 043001 mask: AttPSM with 45nm lines/spaces 90nm pitch stepper: ArF, NA=1.25, y-pol./te, dipole illumination: σ=0.76/0.89, opening angle 35 resist: DOW electronic materials, thickness 100nm wafer: Bilayer BARC on Si XG364G / XD424BA 43.98nm / 43.12nm resist 1 surface resist 2 surface SEM pictures with courtesy of Dow Electronic Materials Semicon Europe, October 12, 2011 8

Process Interactions in Double Patterning Impact of incomplete Cure of Litho 1 Resist completely incompletely cured Curing is modeled by an increase of the activation energy of the cured resist EaF compared to that of the litho 2 resist Ea2 resist 1 after litho 1 resist 1 after litho 2 resist 2 after litho 2 Imperfect curing causes barrel shaped contact holes Semicon Europe, October 12, 2011 9

Process Interactions in Double Patterning Impact of Wafer Topography during Litho 2 Exposure resist footprints CD variation along resist 2 line p litho 1: 45nm lines; variable pitch litho 2: 45nm lines; 90nm pitch Δn = 0.03 (difference between refractive indices of cured resist and litho 2 resist) Effect is linear in Δn Material specifications have to be defined for critical pitches Consider critical pitch in the design split! Semicon Europe, October 12, 2011 10

Process Interactions in Double Patterning Acid Diffusion between Different resist Materials dla1 acid diffusion length in cured resist dla2 acid diffusion length in litho 2 resist dla1/2 =10/4 nm dla1/2 =10/12 nm dla1/2 =10/20 nm X: 43.4nm, Y: 46.3nm Acid depletion close to litho1 line due to diffusion from resist 2 to cured resist 1, thus becoming unavailable for deprotection reaction Resist interaction effects explain footing which was experimentally observed in some resist formulations SEM pictures with courtesy of Dow Electronic Materials Semicon Europe, October 12, 2011 11

Outline Introduction: Resolution limits of optical and EUV lithography ArF immersion/double patterning: process interactions in double patterning EUV lithography: impact of multilayer mask defects Lithography beyond semiconductor manufacturing: source & mask optimization for mask aligners Conclusions and Outlook Semicon Europe, October 12, 2011 12

EUV Lithography Challenges Support by Full Physical Lithography Simulation Source Power - * Mask Infrastructure & Defectivity Resist/Processing Costs Mask defect inspection, printability and repair simulations, mask topography induced phase/aberration effects, assist feature strategies Study of the impact of different blur effects: flare, secondary electron scattering, acid/quencher diffusion, mesoscopic simulations of LER Complementary to expensive, time consuming experiments * There are strong activities on EUV source modeling outside the standard lithography simulation community Semicon Europe, October 12, 2011 13

Impact of Multilayer Mask Defects 40nm Dense Lines Printing without/with Defect mask image resist no defect λ=13.5nm, NA=0.25, circular illum. σ=0.5 calibrated resist model defect w top = 80nm h top = 2nm w bot = 50nm h bot = 50nm Semicon Europe, October 12, 2011 14

Impact of Multilayer Mask Defects Description of Defects bump defect pit defect (2D) Gaussian deformation at top/bottom: h top/bot defect height w top/bot defect size (FWHM) introduced during mask fabrication shape depends on multilayer deposition process difficult to find and to repair Semicon Europe, October 12, 2011 15

Impact of Multilayer Mask Defects Defect Images without absorber versus Defocus bump defect pit defect h top =2nm w top =75nm h bot =30nm w top =30nm h top =-2nm w top =100nm h bot =-2nm w top =100nm defects cause intensity loss in defect area asymmetric printing through focus bumps and pits print most severe in opposite focus directions Semicon Europe, October 12, 2011 16

Impact of Multilayer Mask Defects Comparison with Experiment pit bump defocus SEMs from: R. Jockheere, IMEC Semicon Europe, October 12, 2011 17

Impact of Multilayer Mask Defects Modeling of Present Repair Strategy Mask layout Aerial image Resist profile Mask: 40nm dense L/S Optics: NA=0.25, λ=13.6nm, σ=0.5 Resist: calibrated to IMEC data Defect: top 2/80nm, bottom: 10/10nm Good repair at best focus How about through-focus? Semicon Europe, October 12, 2011 18

Outline Introduction: Resolution limits of optical and EUV lithography ArF immersion/double patterning: process interactions in double patterning EUV lithography: impact of multilayer mask defects Lithography beyond semiconductor manufacturing: source & mask optimization for mask aligners Conclusions and Outlook Semicon Europe, October 12, 2011 19

Lithography beyond Semiconductur Manufacturing Challenges Diversity of Techniques Resolution and other Limitations of various techniques Diversity of applications, materials, and special Requirements Costs Support by Full Physical Lithography Simulation Comparison of projection and proximity printing, interference lithography, direct optical and e-beam write, near field methods, Source & mask optimization for mask aligners, exploration of Talbot imaging, various near field methods and optical nonlinearities (two-photon processes, stimulated/depleted polymerization) Modeling of thick resist effects, gray tone techniques, coupling between lithography and optical device simulation for waveguide structures and nanophotonics Complementary to expensive, time consuming experiments Semicon Europe, October 12, 2011 20

Source & Mask Optimization for Mask Aligners Customized Illumination Geometry: SUSS Microoptics Exposure Optics wafer print simulation Aligner pictures and SEMs from: R. Völkel, SUSS MicroOptics Semicon Europe, October 12, 2011 21

Conclusions and Outlook Full physical lithography simulation can be used to: Compare technology options Investigate impacts of device/process parameters Optimize existing and future processes Explore resolution limits of emerging new techniques Some future trends: Diversity of technology options, related physical/chemical effects and application specific process criteria requires more flexible and open simulation infrastructure Combination of simulation and metrology will enable new possibilities for process control Combination of predictive simulation and advanced optimization techniques helps to push the limits of micro- and nanopatterning techniques Semicon Europe, October 12, 2011 22

Acknowledgements All members of the Fraunhofer IISB Lithography Simulation team Supporting Material and valuable discussions Pete Trefonas (DOW Electronic Materials), Jürgen Fuhrmann (Weierstrass Institute), Rik Jonckheere (IMEC), Tristan Bret (Zeiss SMS), Michael Hornung, Reinhard Völkel (Süss Microtec), Uli Hofmann, Nezi Ünal (GenIsys) Funding from European Commission (FP7), German BMBF, and Bavarian Research Foundation All simulations were performed with Dr.LiTHO: www.drlitho.com Semicon Europe, October 12, 2011 23