A Jitter Attenuating Timing Chain

Size: px
Start display at page:

Download "A Jitter Attenuating Timing Chain"

Transcription

1 In preparation for submission to ASYNC 7. Please do not distribute A Jitter Attenuatg Timg Cha Suwen Yang, Student, IEEE, and Mark R. Greenstreet, Member {swyang, mrg}@cs.ubc.ca Φ long wire long wire... Φ Fig.. Forwardg a clock through a cha of verters 35 Abstract A long cha of verters and wire segments will amplify clock jitter and drop timg pulses due to tersymbol terference. We present jitter attenuatg buffer based on surfg techniques. Our buffer circuit consists of a few verters with variable put strength. We show how this implements a simple, low-ga DLL. Chas of these surfg buffers attenuate jitter and reliably propagate timg pulses. As with traditional DLLs, our design can be used to generate multiple, evenly spaced clock phases for multi-phase clocked circuits, precharged logic, and other high speed logic families. I. INTRODUCTION Consider the problem of forwardg a clock signal through a cha of buffers and long wire segments as shown Figure. Such chas can be used clock distribution networks or for clock forwardg for source-synchronous communication. A fundamental problem for such a design is jitter accumulation along the cha. Even if all of the verters are of the same design, and all of the wires are of the same length, random variations due to power-supply noise, crosstalk, temperature variation, and tra-chip parameter variation add jitter at each, and this jitter is cummulative. Furthermore, tersymbol terference (ISI) effects (aka draftg []) are known to be jitter amplifyg []. Thus, a sufficiently long buffer cha will drop clock pulses even when operatg at low clock frequencies. Figure shows the maximum length cha through which a clock signal can propagate reliably as a function of clock frequency. Similar problems occur when usg asynchronous signallg. Simple handshakg protocols cur large penalties cycle time due to the round trip delay for sendg the data forward and an acknowledgment back. To avoid these disadvantages, we would like to use credit-based protocols where the sender can transmit up to K values before receivg an acknowledgment. In this case, the requests from the transmitter form pulses that can be dropped due to timg variations and ISI just as the synchronous case. Phase-locked loops (PLLs) and delay-locked loops (DLLs) overcome the limitations of simple buffer chas by actively compensatg for jitter. Unfortunately, these circuits require much more power and lay area than simple verters. Thus, it is not practical, for example, to forward a clock for a sourcesynchronous lk usg a PLL or DLL at each repeater. This work was supported by grants from Intel, SUN Microsystems, and NSERC. Please note that this is an early draft. Comments and suggestions are very welcome. Fig.. Number of repeaters before clock pulses are dropped Clock frequency (GHz) WARNING: This plot is completely made up! We ve seen behaviour like this some of our design We ll perform the SPICE simulations and get real data before submittg the paper to ASYNC 7. Forwardg a clock through a cha of verters In this paper, we show how a very simple modification to the verter cha design produces a low-ga DLL at each. This is sufficient to prevent jitter accumulation. Furthermore, asynchronous applications, the same design can be used to wavepipele request and acknowledge pulses reliably, enablg the use of credit based protocols. Our design is based on the surfg techniques troduced [3]; it is power efficient and fully static. Thus, we expect our approach to scale well to deep-submicron processes and provide a practical solution to forwardg clocks designs with multiple timg domas, and forwardg handshakg signals asynchronous designs. We will start the paper with a brief troduction ab surfg theory and then troduce the revised surfg verter. In section III, we proposed a simple delay-locked loop and compared it with the traditional delay-locked loop. In section IV, we formulated a high precision timg cha and studied its workg frequency. II. SURFING Surfg is a variation on wavepipelg [4] where each logic element the pipele is modified to have a put (see Figure 3). When is asserted, the delay of the gate is lower than when is not. A surfg pipele also cludes a timg cha that propagates a pulse for the signals. The key ideas behd surfg is to design the logic elements and the timg cha delays so that the maximum delay of a logic element when is asserted is less than the delay of

2 Data Path: Timg Cha: data_ Φ D Q D Q data_ Φ 8.78 Fig. 3. A Surfg Pipele the correspondg of the timg cha. This ensures that events the data path do not propagate slower than the high terval of the pulse. Conversely, the mimum delay of a logic element when is not asserted must be greater than the delay of the timg cha. This ensures that events the data path do not propagate er than the low terval of the pulse. Together, these two conditions ensure that events the data path are attracted to the risg edge of the timg pulse. This limits the uncertaty the delays the data path, and allows arbitrarily long, latchless pipeles to be implemented. Surfg refers to the way that events the data path propagate on the risg edge of the timg pulse wave. Surfg was first proposed [3], [5] where the delay variation for the logic elements was achieved by pre-switchg, effectively creatg a small fight between the put of the gate and a source follower to shift the gate put slightly anticipation of the next transition. This approach was demonstrated a test chip described [6] where a twelve surfg rg was fabricated and tested Two, dependent, surfg waves of computation were propagated around the rg for over 48 hours, with any errors. However, pre-switchg is power tensive. Thus, [6] also proposed an energy efficient approach to achievg surfg by usg charge sharg domo circuits. More recently, [7] presented a fully static approach to surfg for terconnect application. In this case, surfg is achieved by usg an ordary verter and a tri-state verter parallel. When the tri-state verter is enabled, the delay of the the verter is reduced compared with when the verter is the only element drivg the put. Our surfg clock buffer is a simple modification of the design from [7]. Figure 4 shows our design. We simulated this surfg verter with a.3pf capacitive load usg TSMC.8µ process and plotted the delay curve as shown Figure 5. Let t denote the time of a transition on the = put of the surfg verter; let t denote the time of a transition on the put; and let t denote the time of the resultg transition on the put. The vertical axis is the delay, d: d = t t The horizontal axis is the time separation, t s, from the arrival of the signal to the arrival of the signal: t s = t t [t s,m,t s,max ] defes the stable surfg terval. If we cha surfg verters together and an put event falls to this region, then subsequent events along the cha will stay this region. As long as the period of is between d max and d m, the put of a cha of this surfg verters will be attracted to have the same frequency as. Fig. 4. Fig. 5. delay(s) The Surfg Inverter x d max 3.6 t s,m delay of the surfg verter.4x +.47e operatg pot ts,max dm separation time(s) x The Delay of the Surfg Inverter III. DELAY-LOCKED LOOP Figure 6 is a textbook delay locked loop(dll). It is composed of three parts: the variable delay le, phase detector and loop filter. This DLL suffer from the jitter peakg problem( [8]) because it cannot distguish between put clock jitter and put clock jitter. The type DLL shown Figure 7 uses another reference clock to avoid the jitter peakg problem. With a surfg verter, we are able to build a simple delaylocked loop as shown Figure 8. The size of the surfg verter is the same as that Figure 4. The delay element is just a cha of the same verters. The size of the NMOS is.48µ and PMOS.96µ respectively. Here, is a delayed version of the put. Because the clock s risg and fallg events are terleavg, we use the current event to predict when the next put event should happen. To lock the delay, the previous event must fall the stable surfg region of the next put event. With this constrat, we can derive the followg equation for the put period quite easily: (d m + t s,max + D) P max((d max + t s,m + D), ) () where D is the delay of the delay element. Takg the delay curve as shown Figure 5 as an example, the simple circuit can work with the period rangg from (D -5 )ps to (76 + D)ps. Assume that the circuit is now operatg at the pot labelled by the star Figure 5. Then from the delay curve,

3 3 with t s with -73ps to 8ps, we can model the delay curve as a lear function d = αt s + 43ps with α equal to.4. Under small disturbance, the circuit is characterized with the followg equation: reference phase detector put clock loop filter t = α t + ( α) t () Assume that the first event of is disturbed by t and elsewhere. We use s i to denote the i th event on signal s. We only start to number the events followg the put disturbance. Then with some simple mathematics, the put disturbance is a sequence t i, = ( α) i α t (3) The summation of the sequence is t. However, the circuit spread the disturbance the subsequent events. The jitter the circuit degraded as a ratio of ( α). Figure fig:dlloper shows the operation of a surfg DLL. The DLL works at.ns. One pulse comes 44ps later than the expected time. After 6 events, the DLL get the delay locked. We summarized the advantages of the surfg DLL as follows: ) The surfg verter combes the function of phase detector and variable delay element. It is very simple ) It takes use of the fact that for a clock signal, s and s are terleavg. We use the surfg verter to correctly predict when the next event should happen. 3) It avoids jitter peakg because α is less than. However, this affects the trackg bandwidth and lock time. With lear approximation, the period variation of the type surfg DLL is ( α)(t s,max t s,m ). 4) It removes the loop filer because α is less than. The type surfg DLL is straightforward. It is shown Figure 9. Here, the reference clock and put clock has a phase shift greater than 8 o to correctly predict the terleavg risg and fallg events. Due to the extra surfg verter, the jitter this circuit attenuated as a ratio of ( α). We can also construct a phase-locked loop as shown Figure. Because of the surfg effect, the frequency of the put are tracked to the frequency of the signal. We use the subscript c to denote the current state and f to the fal state. If current circuit works with period P c, to ensure the phase get locked, the circuit has to work the lear region of the delay curve. This imposes the followg constrat on the period the circuit can be locked: Fig. 6. Fig. 7. Type DLL clock source reference Type DLL phase detector put clock loop filter Figure shows the operation of a surfg PLL. At first, the period of the is.5ns and then adjusted to.7ns. After 9 events, the frequency of the put is locked to that of the signal. It may be misunderstood that this surfg PLL should have the same workg frequency as the surfg DLL Figure 6. The difference is that: that surfg DLL use the current event to predict when the next put event should happen. Hence the current signal must fall to the stable surfg region of the put event. However, the surfg PLL use the current put event and current event to generate the next put event. IV. PIPELINED TIMING WITH LOCAL FEEDBACK A pipeled timg cha can be used to crease the throughput of a wire by allowg multiple bits to be flight at the same time. We can use the surfg DLL to implement each of such a pipele, as shown Figure 4. The mimum period of the clock is limited by the right side of equation. If P satisfies that constrat and D is large enough, unlike the delay element P f,m = P c +(t s,c t s,max ) P f P c +(t s,c t s,m ) = P f,max Fig. 8. (4) Then the sequence of the separation time between the put and signal will be t s,i = t,i t,i = t s,f + ( α) i (t s,c t s,f ) (5) The period attenuates at the rate of α. With some calculation, we found that: P f,m (d m + D) and P f,max (d max + D) given any P c rangg from (d m + D) to (d max + D). Hence the circuit works with period satisfies the followg constrat: (d m + D) P f (d max + D) (6) Fig. 9. Type Surfg DLL Type Surfg DLL reference

4 4 4 x with supply noise with supply noise * vcha deviation of the delay(s) Fig put event arrival time(s) Jitter Attenuation of the Surfg DLL x 8 voltage(v) Voltages (l).. m 9m 8m 7m 6m 5m 4m 3m m delay element m Fig.. A Surfg PLL 39n 39n 39n 393n 394n 395n 396n 397n 398n 399n Time (l) (TIME) time(s) verter cha, this timg cha can propagate the pulses with losg any pulse: We put a constrat on D because here the draftg effect (ISI) plays an important role. The draftg effect pulls consecutive risg and fallg clock edges together and causes pulses to be lost. In contrast, the surfg effect works to mata uniform separation of edges. For very small clock periods, the draftg effect domates the surfg effect, and clock pulses will be lost the timg pipele. Thus, the maximum operatg frequency is the one at which draftg and surfg are balance. To obtta a periodic put, the clock s period should not exceed (d max + t s,m + D). At lower frequencies, the cha will propagate all clock events, but it no longer preserves uniform spacg. Thus, jitter will grow with pipele length if the clock period is too large. Due to the surfg effect, the surfg verter cha is less sensitive to power supply noise than a simple verter cha. We simulated the verter cha with PMOS size equal to 9.7µ and NMOS 3.6µ respective. In Figure 3, the solid period(s) Fig x time(s) Period Lockg of the Surfg PLL put x 8 Fig. 3. The Simulation of Inverter Cha clock source clock clock clock3 Fig. 4. The Sgle Phase Surfg Pipele Timg Cha curve is the put of the th with no power supply noise and the dashed curve is the put of the same but with % power supply noise. With no power supply noise, the verter cha can propagate the pulses till s at 5MHZ with losg pulses. However, with % power supply noise, the cha loses pulses. We also apply % power supply noise to the surfg verter cha. Figure 5 shows the put of the th with and with power supply noise. We simulated a -s cha for 5n. We measured both the absolute jitter and the relative jitter: the absolute jitter is measured with respect to a perfectly periodic reference signal; the absolute jitter refers to the period-toperiod variation. Along the cha, the maximum relative jitter is 6.% and the standard deviation of the relative jitter is.8%. The surfg cha can only reduce the relative jitter but not the absolute jitter. If the current event comes later, because of the surfg effect, it will push the next event comg later. Many high speed logic families such as OPL [9] and surfg gates [6] require several tightly spaced clock phases to control prechargg and other operations. It is difficult and power tensive to generate these phases globally and distribute them through a separte clock network for each phase. This motivates

5 5 with supply noise with supply noise * dllcha with power supply noise voltage(v) Voltages (l) Fig m 9m 8m 7m 6m 5m 4m 3m m m -m 4n 43n 44n 45n 46n 47n 48n 49n 5n Time (l) (TIME) time(s) The simulation of the Sgle Phase Surfg Pipele Timg Cha developg ways to locally generate the required clock phases for these logic families. Fairbanks [] used an analog C- element to build micropipele rgs for evenly high precision timg. However, power consumption and robustness were not examed. We can use surfg verters to build a pipeled timg cha as shown Figure 6. The cha has three channels to simplify the analysis because it is symmetric. Every is a delay-locked loop, similar to that shown Figure 6. However D is not constant any more. Suppose that the cha reaches a stable state and number the events on a of all three channels by a particular event time. We use T n,j to denote the time ofthe j th event of n. Assume that a one unit disturbance happens on the first event on put of and no other disturbance elsewhere. Then T n,j = C n n+j αn ( α) j t (7) Also the summation of T n,j is equal to. Thus, the cha spreads the disturbance to every and event. For every, the maximum disturbance happens at the k th event alpha alpha where k is equal to n. When n and k are large enough, we can use the followg approximation to calculate the disturbance: T n,k = αn.5 π( α) (8) The cha works for periods rangg from 6(d max + D + t s,m ) to 6(d m + D + t s,max ). Compared to the one Fig. 6. The Multiple Phase Surfg Pipele Timg Cha channel cha, the trackg bandwidth creases by 4(d m d max + t s,mmax t s,m ) if D is the same. With the lear approximation, the clock s period P = 6(( α)t s +D +D) where D is a constant. Usg the surfg verter as shown Figure 4, the cha works with the period from.8ns to.7ns and the cha works with 4.5% of sgle pulse disturbance. As before, we applied a sgle event disturbance to the cha. The disturbance is equal to % of the period. With the period equal to.3ns, we simulated a - surfg cha for 5ns. Figure 7 is the relative jitter of every, and Figure 8 plots the maximum absolute jitter along the cha. The Matlab simulation of the cha calculated with Equation 7 by settg α to.5 is the red curve Figure 7. Before 5 th, the hspice simulation result matches quite well with the Matlab simulation result. After that, the cha is not settled at.3ns while the disturbance propagated there. Figure 9 the logarithmic scale of the maximum absolute jitter along s. When n is large enough, we may use a le with slope equal to.53 to approximate the maximum absolute jitter. This matches very well with Equationeq:approx. We have also performed Matlab simulations of the surfg cha where the put clock has jitter. As noted above, the disturbance for each event is spread around the s of a cha. The total jitter at any arises from the sum of the contributions from the jitter for each cycle of the put clock. Assumg that these are dependent random variables, we fd that the total jitter at each decreases with the number of s. Figure shows the attenuation of relative jitter when a three-channel timg cha is driven from a clock with a.ns period and % jitter. Absolute jitter also decreases with the number of s, but at a somewhat slower rate. Figure (fig:maxerrpow) shows the maximum absolute jitter of the timg cha under % power supply noise. As described above, the cha does not attenuate absolute jitter, and we see this grow learly with the length of the cha. However, the relative jitter is controlled by the cha. We observed a maximum relative jitter of 8.7%, and its standard deviation is.86%. This suggests that our surfg timg cha can be used reliably for clock forwardg for on-chip, sourcesynchronous communication. The accumulation of absolute jitter must be taken to account when designg deskew or synchronization circuits at the receivg end of the lk.

6 6 Fig. 7. disturabce(s) x The Relative Jitter with Sgle Event Disturbance channel channel channel 3 log of disturbance matlab simulation with a =.5 hspice simulation.53log(n).79 disturbance(s).4 x hspice simulation matlab simulation with a =.5 The Maximum Absolute Error with One Sgle Event Distur- Fig. 9. bance(ii) log of s Fig The Maximum Absolute Jitter with One Sgle Event Disturbance(I) V. CONCLUSIONS We troduced a surfg verter. This verter combes the role of phase detector and variable delay element. With this surfg verter, we built a simple delay-locked loop. This DLL only contas a surfg verter and the delay element. By settg the lear slope α less than, the DLL can reach a stable frequency and avoid the jitter peakg problem associated with the type DLL. For one channel timg cha, with only the surfg verters, with the frequency range, we can propagate the clock signal fately. Though the draftg effect tries to pull the events together, the surfg effect pushes the events away. For multi-channel timg cha, we generated evenly spaced clockd with high resolution. We built the mathematic model and analysed its workg frequency. REFERENCES [] A. J. Wstanley, A. Garivier, and M. R. Greenstreet, An event spacg experiment, Proceedgs of the Eighth International Symposium on Asynchronous Circuits and Systems, Manchester, UK, Apr., pp [] G. Balamurugan and N. Shanbhag, Modelg and mitigation of jitter multigbps source-synchronous I/O lks, st International Conference on Computer Design, 3, pp [3] B. D. Wters and M. R. Greenstreet, A negative-overhead, self-timed pipele, Proceedgs of the Eighth International Symposium on Asynchronous Circuits and Systems, Manchester, UK, Apr., pp [4] W. P. Burleson, M. Ciesielski, et al., Wave-pipelg: a tutorial and research survey, IEEE Transactions on VLSI Systems, vol. 6, no. 3, pp , Sept disturbance(s) Fig.. Fig.. disturbance(s).8 x channel channel 3 channel 5 5 Attenuation of a Clock Jitter 7 x The Maximum Absolute Error with Power Supply Noise

7 [5] B. D. Wters and M. R. Greenstreet, Surfg: A robust form of wave pipelg usg self-timed circuit techniques, Microprocessors and Microsystems, vol. 7, no. 9, pp , Oct. 3. [6] S. Yang, B. D. Wters, and M. R. Greenstreet, Energy efficient surfg, Proceedgs of the Eleventh International Symposium on Asynchronous Circuits and Systems, New York, USA, 5, pp.. [7] M. Greenstreet and J. Ren, Surfg terconnect, Proceedgs of the th Symposium on Asynchronous Circuits and Systems, Mar. 6, pp [8] M.-J. E. Lee, W. J. Dally, et al., Jitter transfer characteristics of delay-locked loops theories and design techniques, IEEE Journal of Solid-State Circuits, vol. 38, no. 4, pp. 64 6, Apr. 3. [Onle]. Available: jsp?isnumber=669 [9] L. McMurchie, S. Kio, et al., Output prediction logic: a highperformance CMOS design technique, Proceedgs of the IEEE International Conference on Computer Design: VLSI Computers and Processors. IEEE Computer Society,, pp [] S. Fairbanks and S. Moore, Analog micropipele rgs for high precision timg, Proceedgs of the Tenth International Symposium on Asynchronous Circuits and Systems, Crete, Greece, 4, pp

Noise and Error Analysis and Optimization of a CMOS Latched Comparator

Noise and Error Analysis and Optimization of a CMOS Latched Comparator Available onle at www.sciencedirect.com Procedia Engeerg 30 (2012) 210 217 International Conference on Communication Technology and System Design 2011 Noise and Error Analysis and Optimization of a CMOS

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Programmable Digital Controller for Multi-Output DC-DC Converters with a. Time-Shared Inductor

Programmable Digital Controller for Multi-Output DC-DC Converters with a. Time-Shared Inductor Programmable Digital ontroller for Multi-Output D-D onverters with a I. Introduction Time-Shared Inductor Modern portable electronics applications require multiple low-power supplies for their functional

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

A Novel Cyclic Time-to-Digital Converter Based on Triple-Slope Interpolation and Time Amplification

A Novel Cyclic Time-to-Digital Converter Based on Triple-Slope Interpolation and Time Amplification 800 M. REZVANYVARDOM, E. FARSHIDI, A NOVEL CYCLIC TIME-TO-DIGITAL CONVERTER BASED ON TRIPLE-SLOPE... A Novel Cyclic Time-to-Digital Converter Based on Triple-Slope Interpolation and Time Amplification

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

VOUT. A: n subthreshold region V SS V TN V IN V DD +V TP

VOUT. A: n subthreshold region V SS V TN V IN V DD +V TP Chapter 3: The CMOS verter This chapter is devoted to analyzg the static (DC) and dynamic (transient) behavior of the CMOS verter. The ma purpose of this analysis is to lay a theoretical ground for a dynamic

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Active Shields: A New Approach to Shielding Global Wires

Active Shields: A New Approach to Shielding Global Wires Active Shields: A New Approach to Shieldg Global Wires Himanshu Kaul University of Michigan, Ann Arbor hkaul@eng.umich.edu Dennis Sylvester University of Michigan, Ann Arbor dennis@eecs.umich.edu David

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

A Single-supply True Voltage Level Shifter

A Single-supply True Voltage Level Shifter A Sgle-supply True Voltage evel Shifter Rajesh Garg Gagandeep Mallarapu Sunil P Khatri (rajeshgarg at tamu.edu) (gagandeepm at tamu.edu) (sunilkhatri at tamu.edu) Department of Electrical & Computer Engeerg,

More information

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip B. Janani, N.Arunpriya B.E, Dept. of Electronics and Communication Engineering, Panimalar Engineering College/ Anna

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Active GHz Clock Network Using Distributed PLLs

Active GHz Clock Network Using Distributed PLLs IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 35, NO. 11, NOVEMBER 2000 1553 Active GHz Clock Network Using Distributed PLLs Vadim Gutnik, Member, IEEE, and Anantha P. Chandrakasan, Member, IEEE Abstract

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

SIMMAT A Metastability Analysis Tool

SIMMAT A Metastability Analysis Tool SIMMAT A Metastability Analysis Tool Simulation waveforms voltage d q Ian W. Jones and Suwen Yang, Oracle Labs, Mark Greenstreet, University of British Columbia clk time (ns) 1 November 2012 1 Outline

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical lk F. Faccio, P. Moreira, A. Marchioro, K. Kloukas, M. Campbell CERN, 1211 Geneva 23, Switzerland Abstract An 80 Mbit/s

More information

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical lk F. Faccio, P. Moreira, A. Marchioro, K. Kloukas, M. Campbell CERN, 1211 Geneva 23, Switzerland Abstract An 80 Mbit/s

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

THE SELF-BIAS PLL IN STANDARD CMOS

THE SELF-BIAS PLL IN STANDARD CMOS THE SELF-BIAS PLL IN STANDAD CMOS Miljan Nikolić, Milan Savić, Predrag Petković Laboratory for Electronic Design Automation, Faculty of Electronic Engineering, University of Niš, Aleksandra Medvedeva 14.,

More information

Operational Amplifier Circuits

Operational Amplifier Circuits Operational Amplifier Circuits eview: deal Op-amp an open loop configuration p p + i _ + i + Ai o o n n _ An ideal op-amp is characterized with fite open loop ga A The other relevant conditions for an

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 1021 A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle Hsiang-Hui Chang, Student Member, IEEE, Jyh-Woei Lin, Ching-Yuan

More information

A Robust Oscillator for Embedded System without External Crystal

A Robust Oscillator for Embedded System without External Crystal Appl. Math. Inf. Sci. 9, No. 1L, 73-80 (2015) 73 Applied Mathematics & Information Sciences An International Journal http://dx.doi.org/10.12785/amis/091l09 A Robust Oscillator for Embedded System without

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 10 March 2016 ISSN (online): 2349-6010 An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017 The Use and Design of Synchronous Mirror Delays Vince DiPuccio ECG 721 Spring 2017 Presentation Overview Synchronization circuit Topologies covered in class PLL and DLL pros and cons Synchronous mirror

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

DLL Based Frequency Multiplier

DLL Based Frequency Multiplier DLL Based Frequency Multiplier Final Project Report VLSI Chip Design Project Project Group 4 Version 1.0 Status Reviewed Approved Ameya Bhide Ameya Bhide TSEK06 VLSI Design Project 1 of 29 Group 4 PROJECT

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Resonant System Design with Coarse Grained Pipelines

Resonant System Design with Coarse Grained Pipelines Resonant System Design with Coarse Graed Pipeles Visvesh S. Sathe, Marios C. Papaefthymiou Department of EECS, University of Michigan Ann Arbor, USA vssathe,marios @eecs.umich.edu Abstract In this report,

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Computer-Based Project in VLSI Design Co 3/7

Computer-Based Project in VLSI Design Co 3/7 Computer-Based Project in VLSI Design Co 3/7 As outlined in an earlier section, the target design represents a Manchester encoder/decoder. It comprises the following elements: A ring oscillator module,

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

Designs of 2P-2P2N Energy Recovery Logic Circuits

Designs of 2P-2P2N Energy Recovery Logic Circuits Research Journal of Applied Sciences, Engeerg and Technology 5(21): 4977-4982, 213 ISSN: 24-7459; e-issn: 24-7467 Maxwell Scientific Organization, 213 Submitted: July 31, 212 Accepted: September 17, 212

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

An All-Digital Approach to Supply Noise Cancellation in Digital Phase-Locked Loop

An All-Digital Approach to Supply Noise Cancellation in Digital Phase-Locked Loop An All-Digital Approach to Supply Noise Cancellation in Digital Phase-Locked Loop Abstract: With increased levels of integration in modern system-on-chips, the coupling of supply noise in a phase locked

More information

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS Diary R. Sulaiman e-mail: diariy@gmail.com Salahaddin University, Engineering College, Electrical Engineering Department Erbil, Iraq Key

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

RESISTOR-STRING digital-to analog converters (DACs)

RESISTOR-STRING digital-to analog converters (DACs) IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 6, JUNE 2006 497 A Low-Power Inverted Ladder D/A Converter Yevgeny Perelman and Ran Ginosar Abstract Interpolating, dual resistor

More information

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology Dhaval Modi Electronics and Communication, L. D. College of Engineering, Ahmedabad, India Abstract--This

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni

More information

IN digital circuits, reducing the supply voltage is one of

IN digital circuits, reducing the supply voltage is one of IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 10, OCTOBER 2014 753 A Low-Power Subthreshold to Above-Threshold Voltage Level Shifter S. Rasool Hosseini, Mehdi Saberi, Member,

More information

Delay-based clock generator with edge transmission and reset

Delay-based clock generator with edge transmission and reset LETTER IEICE Electronics Express, Vol.11, No.15, 1 8 Delay-based clock generator with edge transmission and reset Hyunsun Mo and Daejeong Kim a) Department of Electronics Engineering, Graduate School,

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

14 What You Should Know About Decibels

14 What You Should Know About Decibels 14 What You Should Know About Decibels Every year dozens of students who should know much better lose a lot of exam marks because they haven t grasped the concept of the decibel. This is a great pity:

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

THE GROWTH of the portable electronics industry has

THE GROWTH of the portable electronics industry has IEEE POWER ELECTRONICS LETTERS 1 A Constant-Frequency Method for Improving Light-Load Efficiency in Synchronous Buck Converters Michael D. Mulligan, Bill Broach, and Thomas H. Lee Abstract The low-voltage

More information

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns 1224 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 12, DECEMBER 2008 Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A.

More information

SERIALIZED data transmission systems are usually

SERIALIZED data transmission systems are usually 124 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 1, JANUARY 2009 A Tree-Topology Multiplexer for Multiphase Clock System Hungwen Lu, Chauchin Su, Member, IEEE, and Chien-Nan

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A Low Power Digitally Controlled Oscillator Using 0.18um Technology

A Low Power Digitally Controlled Oscillator Using 0.18um Technology A Low Power Digitally Controlled Oscillator Using 0.18um Technology R. C. Gurjar 1, Rupali Jarwal 2, Ulka Khire 3 1, 2,3 Microelectronics and VLSI Design, Electronics & Instrumentation Engineering department,

More information

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Nitin Kumar #1, Manoj Kumar *2 # Ganga Institute of Technology & Management 1 nitinkumarvlsi@gmail.com * Guru Jambheshwar University of Science

More information

High-Performance Electrical Signaling

High-Performance Electrical Signaling High-Performance Electrical Signaling William J. Dally 1, Ming-Ju Edward Lee 1, Fu-Tai An 1, John Poulton 2, and Steve Tell 2 Abstract This paper reviews the technology of high-performance electrical signaling

More information

Analog Micropipeline Rings for High Precision Timing

Analog Micropipeline Rings for High Precision Timing Analog Micropipeline Rings for High Precision Timing Scott Fairbanks ambridge University Scott.Fairbanks@cl.cam.ac.uk Simon Moore ambridge University Simon.Moore@cl.cam.ac.uk Abstract I use asynchronous

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

Enhancing FPGA-based Systems with Programmable Oscillators

Enhancing FPGA-based Systems with Programmable Oscillators Enhancing FPGA-based Systems with Programmable Oscillators Jehangir Parvereshi, jparvereshi@sitime.com Sassan Tabatabaei, stabatabaei@sitime.com SiTime Corporation www.sitime.com 990 Almanor Ave., Sunnyvale,

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

Module 3: Physical Layer

Module 3: Physical Layer Module 3: Physical Layer Dr. Associate Professor of Computer Science Jackson State University Jackson, MS 39217 Phone: 601-979-3661 E-mail: natarajan.meghanathan@jsums.edu 1 Topics 3.1 Signal Levels: Baud

More information

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier Thutivaka Vasudeepthi 1, P.Malarvezhi 2 and R.Dayana 3 1-3 Department of ECE, SRM University SRM Nagar, Kattankulathur, Kancheepuram

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

1 GSW Noise and IP3 in Receivers

1 GSW Noise and IP3 in Receivers Gettg Started with Communications Engeerg GSW Noise and 3 Receivers GSW Noise and 3 Receivers In many cases, the designers of dividual receiver components (mostly amplifiers, mixers and filters) don t

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information