Cascode Configuration

Size: px
Start display at page:

Download "Cascode Configuration"

Transcription

1 EE 330 Lecture 34 Some dditional nalo Circuits The Cascode Confiuration Darlinton Confiuration Other Special Confiurations The Differential mplifier Cascade mplifiers mplifier Biasin Diital Loic

2 Review from Last Lecture Cascode Confiuration Discuss I B m1 m1 VCC β β VXX 0CC 02 β m1 2VF VCC β= Vt 800, 000 VCC This ain is very lare and only requires two transistors! What happens to the ain if a transistor-level current source is used for I B?

3 Cascode Confiuration I B Q 3 VXX VXX

4 Cascode Confiuration Q 3 Q 3 VXX

5 Hih-ain amplifier comparisons Q 3 0CC V 1 πcc VCC V 1 03 It thus follows that 0CC V VCC CC = But 0CC ; 03 /β V VCC 0CC 03 VCC This is a dramatic reduction in ain compared to what the ideal current source biasin provided β

6 Cascode Confiuration Q 3 V VCC But recall Thus VCC V 0CC 03 m1 01 m1 01 VCC ICQ V V t V F 8000 I CQ Vt VF This is still a factor of 2 better than that of the CE amplifier with transistor current source m1 VCE 201 It only requires one additional transistor But its not nearly as ood as the ain the cascode circuit seemed to provide β

7 Cascode Confiuration Comparisons I B V EE V - m 0 V EE V -m1-2 m I B Q 3 VXX V m1 01 β VXX V m1 m Gain limited by output impedance of current scource!! Can we desin a better current source? In particular, one with a hiher output impedance?

8 Better current sources Need a hiher output impedance than o The output impedance of the cascode circuit itself was very lare! 0CC 01 β Can a current source be built with the cascode circuit?

9 Cascode current sources I X I X V XX I X V XX M 2 Discuss I X V XX V XX M 2 I X I X

10 Cascode Confiuration Discuss VXX V ZZ Q 3 Q 4 m1β V= V= ,000 2 This ain is very lare and is a factor of 2 below that obtained with an ideal current source biasin lthouh the factor of 2 is not desired, the performance of this circuit is still very ood This factor of 2 ain reduction is that same as was observed for the CE amplifier when a transistorlevel current source was used

11 Cascode Confiuration Comparisons I B I B Discuss V EE V - m 0 V = -8,000 V EE V - 2 m1 01 V = -4,000 VXX V m1 01 V = -800,000 β V ZZ Q 3 Q 3 Q 4 VXX V m1 01 V = -8,000 VXX m1β V= 01 2 V = -400,000 Can we use more cascodin to further increase the ain?

12 Hih Gain mplifiers Seldom Used Open Loop I B V - m 0 VXX V ZZ Q 3 Q 4 m1β V= 01 2 Discuss V EE V = -8,000 V = -400,000 V V V OUT IN OUTQ If V =-400,000 and increases by 1mV, what would happen at the output? would decrease by 400,000 x 1mV=-400V

13 The Cascode mplifier (consider n-ch MOS version) Discuss I B VXX M 2 VCC - 0CC m1 m m2 Same issues for biasin with current source as for BJT case With cascode current source, ain only drops by a factor of 2

14 The Cascode mplifier (consider n-ch MOS version) Discuss I B V ZZ M 3 M 4 V ZZ M 3 VXX M 2 VXX M 2 VXX M 2 VCC - m1 m VCC - m m1 m2 VCC

15 Current Source Summary (BJT) Basic Cascode I X I X V XX I X V XX I X / CC 01 β

16 Current Source Summary (MOS) Basic Cascode I X I X VXX M 2 V ZZ M 2 I X I X m2

17 Hih Gain mplifier Comparisons ( n-ch MOS) I B I B V ZZ M 2 V XX M 2 V ZZ M 3 V ZZ M 4 M 3 V - m m1 V VCC - m1 m M 2 V XX VCC - m1 01 M 2 VXX 1 m1 m2 VCC

18 Hih Gain mplifier Comparisons (BJT) I B I B VXX V V EE - m 0 V V EE 2 1 m1 01 V m1 01 β VXX Q 3 VXX V ZZ Q 3 Q 4 Sinle-ended hih-ain amplifiers inherently difficult to bias (because of the hih ain) Biasin becomes practical when used in differential applications These structures are widely used but usually with differential inputs V m1 01 m1β V= 01 2

19 The Cascode mplifier Operational amplifiers often built with basic cascode confiuration CMFB used to address the biasin problem Usually confiured as a differential structure when buildin op amps Have hih output impedance (but can be bufferred) Terms telescopic cascode, folded-cascode, and reulated cascode often refer to op amps based upon the cascode confiuration V B1 M 5 M 6 V B2 M 7 M 8 V B3 M 3 M 4 M 2 I T V B5 1 Telescopic Cascode Op mp (CMFB feedback biasin not shown)

20 Cascade Confiurations I B1 I B2 I B1 I B2 M 2 Two-stae CE:CE or CS:CS Cascade? VCB VCM?

21 Cascade Confiurations I B1 I B2 I B1 I B2 M 2 Two-stae CE:CE or CS:CS Cascade VCB - - β + m1 m2 m1 m2 m VCM - - m1 m2 m1 m Sinificant increase in ain Gain is noninvertin Comparable to that obtained with the cascode but noninvertin

22 Cascade Confiurations V XX Q 3 Q 4 V XX M 3 M 4 M 2 VCB - - β m1 m2 m1 m2 m VCM Two-stae CE:CE or CS:CS Cascade m1 m2 m1 m Note factor or 2 and 4 reduction in ain due to actual current source bias

23 Cascade Confiurations I B1 I B2 I B1 I B2 I B3 Q 3 V EE Two-stae CE Cascade Three-stae CE Cascade Lare ains can be obtained by cascadin Gains are multiplicative (when loadin is included) Lare ains used to build Op mps and feedback used to control ain value Some attention is needed for biasin but it is manaeable Minor variant of the two-stae cascade often used to built Op mps Compensation of two-stae cascade needed if feedback is applied to maintain stability For many years three or more staes were seldom cascaded because of challenes in compensation to maintain stability thouh recently some industrial adoptions

24 Differential mplifiers R 1 1 R 2 2 V 1 V 2 I TIL Basic operational amplifier circuit

25 mplifier Biasin mplifier biasin is that part of the desin of a circuit that establishes the desired operatin point (or Q-point) Goal is to invariably minimize the impact the biasin circuit has on the small-sinal performance of a circuit Usually at most 2 dc power supplies are available and these are often fixed in value by system requirements this restriction is cost driven Discrete amplifiers invariable involve addin biasin resistors and use capacitor couplin and bypassin Interated amplifiers often use current sources which can be used in very lare numbers and are very inexpensive

26 Example: V out mplifier Biasin R L V in =- R V m L Desired small-sinal circuit Common Emitter mplifier V in R B1 R C1 C 1 C 2 B C E V out R L V out R B2 R E1 C 3 R L //R C1 Biased circuit V in R B1 //R B2 ctual small-sinal circuit =- R //R V m L C1

27 Example: mplifier Biasin V out R L V in Desired small-sinal circuit Common Emitter mplifier V in R B1 R C1 C 1 C 2 B C E V out R L R B2 R E1 C 3 Biased small-sinal circuit

28 Example: mplifier Biasin V in R L V out Desired small-sinal circuit Common Collector mplifier V in V out I B R L Biased circuit

29 Example: mplifier Biasin R 2 V in R 1 V out Desired small-sinal circuit Invertin Feedback mplifier R 2 V in R 1 V out Biased circuit

30 Other Basic Confiurations C B E Darlinton Confiuration Current ain is approximately β 2 Two diode drop between B eff and E eff

31 Other Basic Confiurations C B E Sziklai Pair Same basic structure as Darlinton Pair Current ain is approximately β n β p Current ain will not be as lare when β p < β n Only one diode drop between B eff and E eff

32 Other Basic Confiurations I B1 I B2 M 2 M 2 R L I B2 I B1 (optional) R L (c) (d) Buffer and Super Buffer I B1 Voltae shift varies with in buffer Current throuh shift transistor is constant for Super Buffer as chanes so voltae shift does not chane with Same nominal voltae shift (a) R L (b) R L

33 Other Basic Confiurations Low offset buffers I B2 I B2 Z L M 2 Z L I B1 I B1 V EE ctually a CC-CC or a CD-CD cascade Sinificant drop in offset between input and output Biasin with DC current sources Can dd Super Buffer to Output

34 Voltae ttenuator Other Basic Confiurations M 2 ttenuation factor is quite accurate (Determined by eometry) Infinite input impedance in triode, M 2 in saturation ctually can be a channel-tapped structure

35 End of Lecture 34

36 Diital Circuit Desin Most of the remainder of the course will be devoted to diital circuit desin 3.5V F C M6 B M5 M4 F C M3 B M2 Verilo module ates (input loic [3:0] a,b, output loic [3:0] y1,y2,y3,y4,y5); assin y1 = a&b; //ND assin y2 = a b; //OR assin y3 = a ^ b; //XOR assin y4 = ~(a & b); //NND assin y5 = ~( a b); //NOR endmodule renderin of a small standard cell with three metal layers (dielectric has been removed). The sand-colored structures are metal interconnect, with the vertical pillars bein contacts, typically plus of tunsten. The reddish structures are polysilicon ates, and the solid at the bottom is the crystalline silicon bulk Standard Cell Library library IEEE; use IEEE.STD_LOGIC_1164.all; entity ates is port(a,b: in STD_LOGIC_VECTOR(3 dowto 0); y1,y2,y3,y4,y5:out STD_LOGIC_VECTOR(3 downto 0)); end; architecture synth of ates is bein y1 <= a and b; y2 <= a or b; y3 <= a xor b; y4 <= a nand b; y5 <= a nor b; end; VHDL M1

37 Diital Circuit Desin Hierarchical Desin Basic Loic Gates Properties of Loic Families Characterization of CMOS Inverter Static CMOS Loic Gates Ratio Loic Propaation Delay Simple analytical models Elmore Delay Sizin of Gates Propaation Delay with Multiple Levels of Loic Optimal drivin of Lare Capacitive Loads Power Dissipation in Loic Circuits Other Loic Styles rray Loic Rin Oscillators

38 Hierarchical Diital Desin Domains: Behavioral: Top Structural: Physical Bottom Multiple Levels of bstraction

39 Bottom Up Desin Hierarchical Diital Desin Domains: Top Behavioral: Structural: Physical Top Down Desin Bottom

40 Bottom Up Desin Hierarchical Diital Desin Domains: Top Behavioral: Structural: Top Down Desin Physical Multiple Sublevels in Each Major Level Bottom ll Desin Steps may not Fit Naturally in this Description

41 Bottom Up Desin Hierarchical nalo Desin Domains: Top Behavioral: Structural: Physical Top Down Desin Bottom

42 Hierarchical Diital Desin Domains: Behavioral : Describes what a system does or what it should do Structural : Identifies constituent blocks and describes how these blocks are interconnected and how they interact Physical : Describes the constituent blocks to both the transistor and polyon level and their physical placement and interconnection Multiple representations often exist at any level or sublevel

EE 330 Class Seating

EE 330 Class Seating 1 2 3 4 5 6 EE 330 Class Seatin 1 2 3 4 5 6 7 8 Zechariah Daniel Liuchan ndrew Brian Difen imee Julien Di Pettit Borerdin Li Mun Crist Liu Salt Tria Erik Nick Bijan Win Yi Panzhou Travis Wentai Hisham

More information

EE 330 Lecture 33. High Gain Amplifiers Current Sources and Mirrors The Cascode Configuration

EE 330 Lecture 33. High Gain Amplifiers Current Sources and Mirrors The Cascode Configuration EE 330 Lecture 33 Hih Gain mplifiers Current Sources and Mirrors The Cascode Confiuration Review from Last Lecture Hih-ain amplifier V DD I B i B V BE π m V BE 0 V EE This ain is very lare (but realistic)!

More information

EE 435 Lecture 12. OTA circuits. Cascaded Amplifiers. -- Stability Issues. -- Two-Stage Op Amp Design

EE 435 Lecture 12. OTA circuits. Cascaded Amplifiers. -- Stability Issues. -- Two-Stage Op Amp Design EE 435 Lecture 12 OTA circuits Cascaded Amplifiers -- Stability Issues -- Two-Stae Op Amp Desin Review from last lecture: Current Mirror Op Amp W/O CMFB DD M : 1 1 : M M meq m1 Often termed an OTA I T

More information

EE 435 Lecture 11. Current Mirror Op Amps -- Alternative perspective -- Loop phase-shift concerns. OTA circuits

EE 435 Lecture 11. Current Mirror Op Amps -- Alternative perspective -- Loop phase-shift concerns. OTA circuits EE 435 Lecture 11 Current Mirror Op Amps -- Alternative perspective -- Loop phase-shift concerns OTA circuits Review from last lecture: Current Mirror Op Amp W/O CMFB DD M : 1 1 : M M meq m1 Often termed

More information

EE 435. Lecture 8: High-Gain Single-Stage Op Amps. -folded cascode structures

EE 435. Lecture 8: High-Gain Single-Stage Op Amps. -folded cascode structures EE 435 ecture 8: Hih-Gain Sinle-Stae Op mps -folded cascode structures Review from last lecture: Telescopic ascode Op mp Sinle-ended operation - o 2 o3 o + GB 2 o5 o7 m7 (MFB circuit not shown) This circuit

More information

EE 435. Lecture 10: Folded-Cascode Amplifiers Current Mirror Op Amps

EE 435. Lecture 10: Folded-Cascode Amplifiers Current Mirror Op Amps EE 435 ecture 0: Folded-ascode mplifiers urrent Mirror Op mps Where we are at: Basic Op mp Desin Fundamental mplifier Desin Issues Sinle-Stae ow Gain Op mps Sinle-Stae Hih Gain Op mps Other Basic Gain

More information

EE 434 Lecture 22. Properties of Bipolar Devices

EE 434 Lecture 22. Properties of Bipolar Devices EE 434 Lecture 22 Properties of Bipolar Devices Quiz 16 A dc current source is shown. If the device has width W50u, lenth L1.2u, ucox100ua -2, T.75 and.04-1, determine a) The nominal output current b)

More information

EE 435. Lecture 5 Spring Fully Differential Single-Stage Amplifier Design

EE 435. Lecture 5 Spring Fully Differential Single-Stage Amplifier Design EE 435 ecture 5 Sprin 06 Fully Differential Sinle-Stae Amplifier Desin Common-mode operation Desin of basic differential op amp Slew Rate The Reference Op Amp Review from last lecture: Where we are at:

More information

EE 435. Lecture 10: Current Mirror Op Amps

EE 435. Lecture 10: Current Mirror Op Amps EE 435 ecture 10: Current Mirror Op mps 1 Review from last lecture: Folded Cascode mplifier DD DD B3 B3 B1 B3 B B B3 DD DD B1 B1 B4 I T QURTER CIRCUIT Op mp Review from last lecture: Folded Cascode Op

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

Electronic Devices. Floyd. Chapter 6. Ninth Edition. Electronic Devices, 9th edition Thomas L. Floyd

Electronic Devices. Floyd. Chapter 6. Ninth Edition. Electronic Devices, 9th edition Thomas L. Floyd Electronic Devices Ninth Edition Floyd Chapter 6 Agenda BJT AC Analysis Linear Amplifier AC Load Line Transistor AC Model Common Emitter Amplifier Common Collector Amplifier Common Base Amplifier Special

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

EE 435. Lecture 5 Spring Fully Differential Single-Stage Amplifier Design

EE 435. Lecture 5 Spring Fully Differential Single-Stage Amplifier Design EE 435 ecture 5 Sprin 06 ully Differential Sinle-Stae mplifier Desin Common-mode operation Desin of basic differential op amp Slew Rate The Reference Op mp Review from last lecture: Determination of op

More information

Solid State Devices & Circuits. 18. Advanced Techniques

Solid State Devices & Circuits. 18. Advanced Techniques ECE 442 Solid State Devices & Circuits 18. Advanced Techniques Jose E. Schutt-Aine Electrical l&c Computer Engineering i University of Illinois jschutt@emlab.uiuc.edu 1 Darlington Configuration - Popular

More information

ECEN474/704: (Analog) VLSI Circuit Design Spring 2018

ECEN474/704: (Analog) VLSI Circuit Design Spring 2018 ECEN474/704: (Analo) VLSI Circuit Desin Sprin 08 Lecture 6: Output Staes Sam Palermo Analo & Mixed-Sinal Center Texas A&M University Announcements Project eport Due May Email it to me by 5PM Exam 3 is

More information

Analog Integrated Circuits. Lecture 6: Noise Analysis

Analog Integrated Circuits. Lecture 6: Noise Analysis Analo Interated Circuits Lecture 6: Noise Analysis ELC 60 Fall 03 Dr. Ahmed Nader Dr. Mohamed M. Aboudina anader@ieee.or maboudina@mail.com Department of Electronics and Communications Enineerin Faculty

More information

Chapter 7 Building Blocks of Integrated Circuit Amplifiers: Part D: Advanced Current Mirrors

Chapter 7 Building Blocks of Integrated Circuit Amplifiers: Part D: Advanced Current Mirrors 1 Chapter 7 Building Blocks of Integrated Circuit Amplifiers: Part D: Advanced Current Mirrors Current Mirror Example 2 Two Stage Op Amp (MOSFET) Current Mirror Example Three Stage 741 Opamp (BJT) 3 4

More information

A CURRENT MIRROR BASED TWO STAGE CMOS CASCODE OP-AMP FOR HIGH FREQUENCY APPLICATION

A CURRENT MIRROR BASED TWO STAGE CMOS CASCODE OP-AMP FOR HIGH FREQUENCY APPLICATION Journal of Enineerin Science and Technoloy Vol. 12, No. 3 (2017) 686-700 School of Enineerin, Taylor s University A CURRENT MIRROR BASED TWO STAGE CMOS CASCODE OP-AMP FOR HIGH FREQUENCY APPLICATION RAMKRISHNA

More information

Design Of The Miller Opamp

Design Of The Miller Opamp Miller Opamp Desin Of The Miller Opamp The Miller opamp is made up of Input differential stae Simple MOS OTA A second ain stae ommon Source Amplifier The desin of a Miller opamp is beneficial as a learnin

More information

Linear electronic. Lecture No. 1

Linear electronic. Lecture No. 1 1 Lecture No. 1 2 3 4 5 Lecture No. 2 6 7 8 9 10 11 Lecture No. 3 12 13 14 Lecture No. 4 Example: find Frequency response analysis for the circuit shown in figure below. Where R S =4kR B1 =8kR B2 =4k R

More information

SAMPLE FINAL EXAMINATION FALL TERM

SAMPLE FINAL EXAMINATION FALL TERM ENGINEERING SCIENCES 154 ELECTRONIC DEVICES AND CIRCUITS SAMPLE FINAL EXAMINATION FALL TERM 2001-2002 NAME Some Possible Solutions a. Please answer all of the questions in the spaces provided. If you need

More information

Chapter 15 Goals. ac-coupled Amplifiers Example of a Three-Stage Amplifier

Chapter 15 Goals. ac-coupled Amplifiers Example of a Three-Stage Amplifier Chapter 15 Goals ac-coupled multistage amplifiers including voltage gain, input and output resistances, and small-signal limitations. dc-coupled multistage amplifiers. Darlington configuration and cascode

More information

UNIT I BIASING OF DISCRETE BJT AND MOSFET PART A

UNIT I BIASING OF DISCRETE BJT AND MOSFET PART A UNIT I BIASING OF DISCRETE BJT AND MOSFET PART A 1. Why do we choose Q point at the center of the load line? 2. Name the two techniques used in the stability of the q point.explain. 3. Give the expression

More information

DC Coupling: General Trends

DC Coupling: General Trends DC Coupling: General Trends * Goal: want both input and output to be centered at halfway between the positive and negative supplies (or ground, for a single supply) -- in order to have maximum possible

More information

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey Lecture 02: Logic Families R.J. Harris & D.G. Bailey Objectives Show how diodes can be used to form logic gates (Diode logic). Explain the need for introducing transistors in the output (DTL and TTL).

More information

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 44 Digital Circuits Other Logic Styles Dynamic Logic Circuits Course Evaluation Reminder - ll Electronic http://bit.ly/isustudentevals Review from Last Time Power Dissipation in Logic Circuits

More information

Lecture 33: Context. Prof. J. S. Smith

Lecture 33: Context. Prof. J. S. Smith Lecture 33: Prof J. S. Smith Context We are continuing to review some of the building blocks for multi-stage amplifiers, including current sources and cascode connected devices, and we will also look at

More information

Index. Small-Signal Models, 14 saturation current, 3, 5 Transistor Cutoff Frequency, 18 transconductance, 16, 22 transit time, 10

Index. Small-Signal Models, 14 saturation current, 3, 5 Transistor Cutoff Frequency, 18 transconductance, 16, 22 transit time, 10 Index A absolute value, 308 additional pole, 271 analog multiplier, 190 B BiCMOS,107 Bode plot, 266 base-emitter voltage, 16, 50 base-emitter voltages, 296 bias current, 111, 124, 133, 137, 166, 185 bipolar

More information

Preliminary Exam, Fall 2013 Department of Electrical and Computer Engineering University of California, Irvine EECS 170B

Preliminary Exam, Fall 2013 Department of Electrical and Computer Engineering University of California, Irvine EECS 170B Preliminary Exam, Fall 2013 Department of Electrical and Computer Engineering University of California, Irvine EECS 170B Problem 1. Consider the following circuit, where a saw-tooth voltage is applied

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

EE 330 Lecture 27. Bipolar Processes. Special Bipolar Processes. Comparison of MOS and Bipolar Proces JFET. Thyristors SCR TRIAC

EE 330 Lecture 27. Bipolar Processes. Special Bipolar Processes. Comparison of MOS and Bipolar Proces JFET. Thyristors SCR TRIAC EE 330 Lecture 27 Bipolar Processes Comparison of MOS and Bipolar Proces JFET Special Bipolar Processes Thyristors SCR TRIAC Review from a Previous Lecture B C E E C vertical npn B A-A Section B C E C

More information

Georgia Institute of Technology School of Electrical and Computer Engineering. Midterm Exam

Georgia Institute of Technology School of Electrical and Computer Engineering. Midterm Exam Georgia Institute of Technology School of Electrical and Computer Engineering Midterm Exam ECE-3400 Fall 2013 Tue, September 24, 2013 Duration: 80min First name Solutions Last name Solutions ID number

More information

ECE 442 Solid State Devices & Circuits. 15. Differential Amplifiers

ECE 442 Solid State Devices & Circuits. 15. Differential Amplifiers ECE 442 Solid State Devices & Circuits 15. Differential Amplifiers Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu ECE 442 Jose Schutt Aine 1 Background

More information

Comparison of LNA Topologies for WiMAX Applications in a Standard 90-nm CMOS Process

Comparison of LNA Topologies for WiMAX Applications in a Standard 90-nm CMOS Process 2010 12th International Conference on Computer Modellin and Simulation Comparison of LNA Topoloies for WiMAX Applications in a Standard 90-nm CMOS Process Michael Anelo G. Lorenzo Electrical and Electronics

More information

HOME ASSIGNMENT. Figure.Q3

HOME ASSIGNMENT. Figure.Q3 HOME ASSIGNMENT 1. For the differential amplifier circuit shown below in figure.q1, let I=1 ma, V CC =5V, v CM = -2V, R C =3kΩ and β=100. Assume that the BJTs have v BE =0.7 V at i C =1 ma. Find the voltage

More information

Microelectronic Circuits

Microelectronic Circuits SECOND EDITION ISHBWHBI \ ' -' Microelectronic Circuits Adel S. Sedra University of Toronto Kenneth С Smith University of Toronto HOLT, RINEHART AND WINSTON HOLT, RINEHART AND WINSTON, INC. New York Chicago

More information

Chapter 12 Opertational Amplifier Circuits

Chapter 12 Opertational Amplifier Circuits 1 Chapter 12 Opertational Amplifier Circuits Learning Objectives 1) The design and analysis of the two basic CMOS op-amp architectures: the two-stage circuit and the single-stage, folded cascode circuit.

More information

ET475 Electronic Circuit Design I [Onsite]

ET475 Electronic Circuit Design I [Onsite] ET475 Electronic Circuit Design I [Onsite] Course Description: This course covers the analysis and design of electronic circuits, and includes a laboratory that utilizes computer-aided software tools for

More information

4.2.2 Metal Oxide Semiconductor Field Effect Transistor (MOSFET)

4.2.2 Metal Oxide Semiconductor Field Effect Transistor (MOSFET) 4.2.2 Metal Oxide Semiconductor Field Effect Transistor (MOSFET) The Metal Oxide Semitonductor Field Effect Transistor (MOSFET) has two modes of operation, the depletion mode, and the enhancement mode.

More information

EECE488: Analog CMOS Integrated Circuit Design Set 7 Opamp Design

EECE488: Analog CMOS Integrated Circuit Design Set 7 Opamp Design EECE488: Analog CMOS Integrated Circuit Design Set 7 Opamp Design References: Analog Integrated Circuit Design by D. Johns and K. Martin and Design of Analog CMOS Integrated Circuits by B. Razavi All figures

More information

ECEN474: (Analog) VLSI Circuit Design Fall 2012

ECEN474: (Analog) VLSI Circuit Design Fall 2012 ECEN474: (Analo) VLSI Circuit Desin Fall 2012 Lecture 18: OTA Examples Sam Palermo Analo & Mixed-Sinal Center Texas A&M University Announcements No class on Monday Preliminary report still due Monday (11/19)

More information

EE 330 Lecture 20. Operating Points for Amplifier Applications Amplification with Transistor Circuits Small Signal Modelling

EE 330 Lecture 20. Operating Points for Amplifier Applications Amplification with Transistor Circuits Small Signal Modelling EE 330 Lecture 20 Operating Points for Amplifier Applications Amplification with Transistor Circuits Small Signal Modelling Review from Last Lecture Simplified Multi-Region Model Alternate equivalent model

More information

Module 4 Unit 4 Feedback in Amplifiers

Module 4 Unit 4 Feedback in Amplifiers Module 4 Unit 4 Feedback in mplifiers eview Questions:. What are the drawbacks in a electronic circuit not using proper feedback? 2. What is positive feedback? Positive feedback is avoided in amplifier

More information

(a) BJT-OPERATING MODES & CONFIGURATIONS

(a) BJT-OPERATING MODES & CONFIGURATIONS (a) BJT-OPERATING MODES & CONFIGURATIONS 1. The leakage current I CBO flows in (a) The emitter, base and collector leads (b) The emitter and base leads. (c) The emitter and collector leads. (d) The base

More information

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

Radivoje Đurić, 2015, Analogna Integrisana Kola 1 OTA-output buffer 1 According to the types of loads, the driving capability of the output stages differs. For switched capacitor circuits which have high impedance capacitive loads, class A output stage

More information

Lecture 3: Transistors

Lecture 3: Transistors Lecture 3: Transistors Now that we know about diodes, let s put two of them together, as follows: collector base emitter n p n moderately doped lightly doped, and very thin heavily doped At first glance,

More information

EE 3111 Lab 7.1. BJT Amplifiers

EE 3111 Lab 7.1. BJT Amplifiers EE 3111 Lab 7.1 BJT Amplifiers BJT Amplifier Device/circuit that alters the amplitude of a signal, while keeping input waveform shape BJT amplifiers run the BJT in active mode. Forward current gain is

More information

EE 330 Laboratory 8 Discrete Semiconductor Amplifiers

EE 330 Laboratory 8 Discrete Semiconductor Amplifiers EE 330 Laboratory 8 Discrete Semiconductor Amplifiers Fall 2017 Contents Objective:... 2 Discussion:... 2 Components Needed:... 2 Part 1 Voltage Controlled Amplifier... 2 Part 2 Common Source Amplifier...

More information

A 7ns, 6mA, Single-Supply Comparator Fabricated on Linear s 6GHz Complementary Bipolar Process

A 7ns, 6mA, Single-Supply Comparator Fabricated on Linear s 6GHz Complementary Bipolar Process A 7ns, 6mA, Single-Supply Comparator Fabricated on Linear s 6GHz Complementary Bipolar Process Introduction The is an ultrafast (7ns), low power (6mA), single-supply comparator designed to operate on either

More information

Reading. Lecture 33: Context. Lecture Outline. Chapter 9, multi-stage amplifiers. Prof. J. S. Smith

Reading. Lecture 33: Context. Lecture Outline. Chapter 9, multi-stage amplifiers. Prof. J. S. Smith eading Lecture 33: Chapter 9, multi-stage amplifiers Prof J. S. Smith Context Lecture Outline We are continuing to review some of the building blocks for multi-stage amplifiers, including current sources

More information

EE 435. Lecture 7: Signal Swing Measurement/Simulation of High Gain Circuits Laboratory Support

EE 435. Lecture 7: Signal Swing Measurement/Simulation of High Gain Circuits Laboratory Support EE 435 Lecture 7: Signal Swing Measurement/Simulation of High Gain Circuits Laboratory Support 1 Review from last lecture: Operation of Op Amp A different perspective D D DD Small signal differential half-circuit

More information

Small signal Amplifier stages. Figure 5.2 Classification of power amplifiers

Small signal Amplifier stages. Figure 5.2 Classification of power amplifiers 5.1 Introduction When the power requirement to drive the load is in terms of several Watts rather than mili-watts the power amplifiers are used. Power amplifiers form the last stage of multistage amplifiers.

More information

A New Architecture for Rail-to-Rail Input Constant-g m CMOS Operational Transconductance Amplifiers

A New Architecture for Rail-to-Rail Input Constant-g m CMOS Operational Transconductance Amplifiers A New Architecture for Rail-to-Rail Input Constant- m CMOS Operational Transconductance Amplifiers Mohammad M. Ahmadi Electrical Enineerin Dept. Sharif University of Technoloy. Azadi Ave., Tehran, Iran

More information

Lecture 9 Transistors

Lecture 9 Transistors Lecture 9 Transistors Physics Transistor/transistor logic CMOS logic CA 1947 http://www.extremetech.com/extreme/164301-graphenetransistors-based-on-negative-resistance-could-spell-theend-of-silicon-and-semiconductors

More information

Federal Urdu University of Arts, Science & Technology Islamabad Pakistan THIRD SEMESTER ELECTRONICS - II BASIC ELECTRICAL & ELECTRONICS LAB

Federal Urdu University of Arts, Science & Technology Islamabad Pakistan THIRD SEMESTER ELECTRONICS - II BASIC ELECTRICAL & ELECTRONICS LAB THIRD SEMESTER ELECTRONICS - II BASIC ELECTRICAL & ELECTRONICS LAB DEPARTMENT OF ELECTRICAL ENGINEERING Prepared By: Checked By: Approved By: Engr. Saqib Riaz Engr. M.Nasim Khan Dr.Noman Jafri Lecturer

More information

Chapter 9: Operational Amplifiers

Chapter 9: Operational Amplifiers Chapter 9: Operational Amplifiers The Operational Amplifier (or op-amp) is the ideal, simple amplifier. It is an integrated circuit (IC). An IC contains many discrete components (resistors, capacitors,

More information

Chapter 6. BJT Amplifiers

Chapter 6. BJT Amplifiers Basic Electronic Devices and Circuits EE 111 Electrical Engineering Majmaah University 2 nd Semester 1432/1433 H Chapter 6 BJT Amplifiers 1 Introduction The things you learned about biasing a transistor

More information

Chapter 13 Oscillators and Data Converters

Chapter 13 Oscillators and Data Converters Chapter 13 Oscillators and Data Converters 13.1 General Considerations 13.2 Ring Oscillators 13.3 LC Oscillators 13.4 Phase Shift Oscillator 13.5 Wien-Bridge Oscillator 13.6 Crystal Oscillators 13.7 Chapter

More information

BJT Circuits (MCQs of Moderate Complexity)

BJT Circuits (MCQs of Moderate Complexity) BJT Circuits (MCQs of Moderate Complexity) 1. The current ib through base of a silicon npn transistor is 1+0.1 cos (1000πt) ma. At 300K, the rπ in the small signal model of the transistor is i b B C r

More information

Analysis and Design of Analog Integrated Circuits Lecture 20. Advanced Opamp Topologies (Part II)

Analysis and Design of Analog Integrated Circuits Lecture 20. Advanced Opamp Topologies (Part II) Analysis and Design of Analog Integrated Circuits Lecture 20 Advanced Opamp Topologies (Part II) Michael H. Perrott April 15, 2012 Copyright 2012 by Michael H. Perrott All rights reserved. Outline of Lecture

More information

Document Name: Electronic Circuits Lab. Facebook: Twitter:

Document Name: Electronic Circuits Lab.  Facebook:  Twitter: Document Name: Electronic Circuits Lab www.vidyathiplus.in Facebook: www.facebook.com/vidyarthiplus Twitter: www.twitter.com/vidyarthiplus Copyright 2011-2015 Vidyarthiplus.in (VP Group) Page 1 CIRCUIT

More information

PDm200B High Performance Piezo Driver

PDm200B High Performance Piezo Driver PDm200B Hih Performance Piezo Driver The PDm200B is a hih-performance power supply and linear amplifier module for drivin piezoelectric actuators. The output voltae rane can be switched between bipolar

More information

Lab 2: Discrete BJT Op-Amps (Part I)

Lab 2: Discrete BJT Op-Amps (Part I) Lab 2: Discrete BJT Op-Amps (Part I) This is a three-week laboratory. You are required to write only one lab report for all parts of this experiment. 1.0. INTRODUCTION In this lab, we will introduce and

More information

Analysis of Active Feedback and its Influence on UWB Low Noise Amplifier

Analysis of Active Feedback and its Influence on UWB Low Noise Amplifier Volume 89 No 8, March 04 Analysis of Active Feedback and its Influence on UWB Low Noise Amplifier P.Keerthana PG Student Dept. of ECE SSN Collee of Enineerin, Chennai, India. J.Raja Professor Dept. of

More information

Experiment 1: Amplifier Characterization Spring 2019

Experiment 1: Amplifier Characterization Spring 2019 Experiment 1: Amplifier Characterization Spring 2019 Objective: The objective of this experiment is to develop methods for characterizing key properties of operational amplifiers Note: We will be using

More information

BJT Amplifier. Superposition principle (linear amplifier)

BJT Amplifier. Superposition principle (linear amplifier) BJT Amplifier Two types analysis DC analysis Applied DC voltage source AC analysis Time varying signal source Superposition principle (linear amplifier) The response of a linear amplifier circuit excited

More information

Electronics EECE2412 Spring 2018 Exam #2

Electronics EECE2412 Spring 2018 Exam #2 Electronics EECE2412 Spring 2018 Exam #2 Prof. Charles A. DiMarzio Department of Electrical and Computer Engineering Northeastern University 29 March 2018 File:12262/exams/exam2 Name: General Rules: You

More information

ES330 Laboratory Experiment No. 9 Bipolar Differential Amplifier [Reference: Sedra/Smith (Chapter 9; Section 9.2; pp )]

ES330 Laboratory Experiment No. 9 Bipolar Differential Amplifier [Reference: Sedra/Smith (Chapter 9; Section 9.2; pp )] ES330 Laboratory Experiment No. 9 Bipolar Differential Amplifier [Reference: Sedra/Smith (Chapter 9; Section 9.2; pp. 614-627)] Objectives: 1. Explore the operation of a bipolar junction transistor differential

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Design for MOSIS Education Program

Design for MOSIS Education Program Design for MOSIS Education Program (Research) T46C-AE Project Title Low Voltage Analog Building Block Prepared by: C. Durisety, S. Chen, B. Blalock, S. Islam Institution: Department of Electrical and Computer

More information

EE 435. Lecture 24. Offset Voltages Common Mode Feedback Circuits

EE 435. Lecture 24. Offset Voltages Common Mode Feedback Circuits EE 435 Lecture 24 Offset Voltages Common Mode Feedback Circuits Review from last lecture Offset Voltage Two types of offset voltage: Systematic Offset Voltage Random Offset Voltage V OUT V ICQ fter fabrication

More information

1. (2pts) Why is the Q-point of a common source amplifier often placed near the middle of the load line?

1. (2pts) Why is the Q-point of a common source amplifier often placed near the middle of the load line? EE 330 Exam 3 Fall 2014 Name Instructions: This is a 50 minute exam. Students may bring 3 page of notes (front and back) to this exam. There are 10 questions and 5 problems. There is also an optional extra

More information

ELECTRONIC CIRCUITS. Time: Three Hours Maximum Marks: 100

ELECTRONIC CIRCUITS. Time: Three Hours Maximum Marks: 100 EC 40 MODEL TEST PAPER - 1 ELECTRONIC CIRCUITS Time: Three Hours Maximum Marks: 100 Answer five questions, taking ANY TWO from Group A, any two from Group B and all from Group C. All parts of a question

More information

Integrated Circuit: Classification:

Integrated Circuit: Classification: Integrated Circuit: It is a miniature, low cost electronic circuit consisting of active and passive components that are irreparably joined together on a single crystal chip of silicon. Classification:

More information

Transistor Digital Circuits

Transistor Digital Circuits Recapitulation Transistor Digital Circuits The transistor Operating principle and regions Utilization of the transistor Transfer characteristics, symbols Controlled switch model BJT digital circuits MOSFET

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information

You will be asked to make the following statement and provide your signature on the top of your solutions.

You will be asked to make the following statement and provide your signature on the top of your solutions. 1 EE 435 Name Exam 1 Spring 2018 Instructions: The points allocated to each problem are as indicated. Note that the first and last problem are weighted more heavily than the rest of the problems. On those

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

Multistage Amplifiers

Multistage Amplifiers Multistage Amplifiers Single-stage transistor amplifiers are inadequate for meeting most design requirements for any of the four amplifier types (voltage, current, transconductance, and transresistance.)

More information

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press UNIT-1 Bipolar Junction Transistors Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press Figure 6.1 A simplified structure of the npn transistor. Microelectronic Circuits, Sixth

More information

Microelectronic Circuits - Fifth Edition Sedra/Smith Copyright 2004 by Oxford University Press, Inc.

Microelectronic Circuits - Fifth Edition Sedra/Smith Copyright 2004 by Oxford University Press, Inc. Feedback 1 Figure 8.1 General structure of the feedback amplifier. This is a signal-flow diagram, and the quantities x represent either voltage or current signals. 2 Figure E8.1 3 Figure 8.2 Illustrating

More information

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks EE 330 Lecture 42 Other Logic Styles Digital Building Blocks Logic Styles Static CMOS Complex Logic Gates Pass Transistor Logic (PTL) Pseudo NMOS Dynamic Logic Domino Zipper Static CMOS Widely used Attractive

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Final Exam Spring 2012

Final Exam Spring 2012 1 EE 435 Final Exam Spring 2012 Name Instructions: This is an open-book, open-notes, open computer exam but no collaboration either personal or electronic with anyone except the course instructor is permitted.

More information

Improving Amplifier Voltage Gain

Improving Amplifier Voltage Gain 15.1 Multistage ac-coupled Amplifiers 1077 TABLE 15.3 Three-Stage Amplifier Summary HAND ANALYSIS SPICE RESULTS Voltage gain 998 1010 Input signal range 92.7 V Input resistance 1 M 1M Output resistance

More information

Lecture #2 Operational Amplifiers

Lecture #2 Operational Amplifiers Spring 2015 Benha University Faculty of Engineering at Shoubra ECE-322 Electronic Circuits (B) Lecture #2 Operational Amplifiers Instructor: Dr. Ahmad El-Banna Agenda Introduction Op-Amps Input Modes and

More information

EE 330 Laboratory 8 Discrete Semiconductor Amplifiers

EE 330 Laboratory 8 Discrete Semiconductor Amplifiers EE 330 Laboratory 8 Discrete Semiconductor Amplifiers Fall 2018 Contents Objective:...2 Discussion:...2 Components Needed:...2 Part 1 Voltage Controlled Amplifier...2 Part 2 A Nonlinear Application...3

More information

Microelectronic Circuits. Feedback Amplifiers. Slide 1. Lecture on Microelectronics Circuits. BITS Pilani, Dubai Campus. Dr. Vilas

Microelectronic Circuits. Feedback Amplifiers. Slide 1. Lecture on Microelectronics Circuits. BITS Pilani, Dubai Campus. Dr. Vilas Microelectronic Circuits Feedback mplifiers Slide 1 General Structure of Feedback Comparison Circuit / Mixer x o = x i ; x f = b x o ; x i = x s - x f ; f = (x o /x s ) = / (1+b). lso, x f = bx s / (1+b)

More information

EE 230. Electronic Circuits and Systems. Randy Geiger 2133 Coover

EE 230. Electronic Circuits and Systems. Randy Geiger 2133 Coover EE 230 Electronic Circuits and Systems Randy Geiger 2133 Coover rlgeiger@iastate.edu 294-7745 Course Description Linear Systems Frequency domain characterization of electronic circuits and systems transfer

More information

Lecture 030 ECE4430 Review III (1/9/04) Page 030-1

Lecture 030 ECE4430 Review III (1/9/04) Page 030-1 Lecture 030 ECE4430 Review III (1/9/04) Page 0301 LECTURE 030 ECE 4430 REVIEW III (READING: GHLM Chaps. 3 and 4) Objective The objective of this presentation is: 1.) Identify the prerequisite material

More information

Chapter 9: Operational Amplifiers

Chapter 9: Operational Amplifiers Chapter 9: Operational Amplifiers The Operational Amplifier (or op-amp) is the ideal, simple amplifier. It is an integrated circuit (IC). An IC contains many discrete components (resistors, capacitors,

More information

C H A P T E R 02. Operational Amplifiers

C H A P T E R 02. Operational Amplifiers C H A P T E R 02 Operational Amplifiers The Op-amp Figure 2.1 Circuit symbol for the op amp. Figure 2.2 The op amp shown connected to dc power supplies. The Ideal Op-amp 1. Infinite input impedance 2.

More information

Chapter 13 Output Stages and Power Amplifiers

Chapter 13 Output Stages and Power Amplifiers Chapter 13 Output Stages and Power Amplifiers 13.1 General Considerations 13.2 Emitter Follower as Power Amplifier 13.3 Push-Pull Stage 13.4 Improved Push-Pull Stage 13.5 Large-Signal Considerations 13.6

More information

Single Stage Amplifier

Single Stage Amplifier CHAPTE 3 Sle Stae Aplifier Analo IC Analysis and esin 3- Chih-Chen Hsieh Outle. Coon-Source Aplifier. Coon-Source Ap with Source eeneration 3. Coon-ra Aplifier 4. Coon-Gate Aplifier 5. Cascode Aplifier

More information

A Gate-Leakage Insensitive 0.7-V 233-nW ECG Amplifier using Non-Feedback PMOS Pseudo-Resistors in m N-well CMOS

A Gate-Leakage Insensitive 0.7-V 233-nW ECG Amplifier using Non-Feedback PMOS Pseudo-Resistors in m N-well CMOS JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.4, DECEMBER, 2010 309 A Gate-Leakae Insensitive 0.7-V 233-nW ECG Amplifier usin Non-Feedback PMOS Pseudo-Resistors in 0.13- m N-well CMOS Ji-Yon

More information

Electronics Prof. D. C. Dube Department of Physics Indian Institute of Technology, Delhi

Electronics Prof. D. C. Dube Department of Physics Indian Institute of Technology, Delhi Electronics Prof. D. C. Dube Department of Physics Indian Institute of Technology, Delhi Module No # 05 FETS and MOSFETS Lecture No # 06 FET/MOSFET Amplifiers and their Analysis In the previous lecture

More information

Early Effect & BJT Biasing

Early Effect & BJT Biasing Early Effect & BJT Biasing Early Effect DC BJT Behavior DC Biasing the BJT 1 ESE319 Introduction to Microelectronics Early Effect Saturation region Forward-Active region 4 3 Ideal NPN BJT Transfer V Characteristic

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 11 BiCMOS PMOS rray Q1 NMOS rray Y NMOS rray Q2 dib brishamifar EE Department IUST Contents Introduction BiCMOS Devices BiCMOS Inverters BiCMOS Gates BiCMOS Drivers

More information

UNIT I Introduction to DC & AC circuits

UNIT I Introduction to DC & AC circuits SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK (DESCRIPTIVE) Subject with Code: Basic Electrical and Electronics Engineering (16EE207) Year & Sem: II-B.

More information

EE 140 / EE 240A ANALOG INTEGRATED CIRCUITS FALL 2015 C. Nguyen PROBLEM SET #7

EE 140 / EE 240A ANALOG INTEGRATED CIRCUITS FALL 2015 C. Nguyen PROBLEM SET #7 Issued: Friday, Oct. 16, 2015 PROBLEM SET #7 Due (at 8 a.m.): Monday, Oct. 26, 2015, in the EE 140/240A HW box near 125 Cory. 1. A design error has resulted in a mismatch in the circuit of Fig. PS7-1.

More information