Design a pattern generator with low switching activity to test complex combinational logic with high test coverage

Size: px
Start display at page:

Download "Design a pattern generator with low switching activity to test complex combinational logic with high test coverage"

Transcription

1 Design a pattern generator with low switching activity to test complex combinational logic with high test coverage 1 Jay B Dabhi 1 VLSI & Embedded Systems Design GTU PG School, Ahmedabad, India E Mail: 1 jaydabhi001@gmail.com, 1 jaydabhi3@gmail.com Abstract In circuit large number of combinational logic used so logic depth is large, so it is impossible to test every fault with other techniques because it s take more time. In order to increase speed of an algorithm for test generation, it is necessary to reduce the number of backtracks in the algorithm and to shorten the process time between backtracks. To solve this problem an Automatic Test Pattern Generator (ATPG) is proposed based on modification of FAN (fan -out-oriented test generation algorithm) Algorithm is describe. Also with some techniques reduce the switching activity of generated patterns for decrease the power consumption of ATPG. Keywords- Automatic Test Pattern Generator (ATPG), fanout-oriented test generation algorithm (FAN), path oriented decision making algorithm (PODEM), stuck-at faults(s-a-f), Pattern Generator (PG). I. INTRODUCTION With the development of a Large Scale Integrated circuit (LSI) and Very Large Scale Integrated Circuit (VLSI) technologies, in circuit large number of combinational logic used so logic is very complex. So, problem of fault detection for logic circuits is becoming more and more difficult. As the logic circuits under test get larger, generating tests is becoming harder. One approach to overcome this is to take several techniques known as design for testability [3]. There are many test generation algorithms have been proposed over the years, but there is three best algorithms are available for logic circuit. Basic algorithm is D-algorithm widely used in testing developed by Roth in IBM However, it has been pointed out that the D-algorithm is extremely inefficient in generating tests for combinational circuits [3], because of some drawback are as under: 1. It establishes no strategy for the order of fault sensitization, justification, or fault propagation [2]. 2. It is algorithmically very complex because all combinations of paths must be examined. To improve drawbacks of D-algorithm, a new test generation algorithm called PODEM was developed by Goel in IBM 1981 & proves that it is significantly faster than the D- algorithm by presenting experimental results. But still some drawbacks remain in that algorithm are as under: 1. It will eventually try all the primary input combinations, either explicitly or implicitly, until it finds a test [2]. To improve test generation algorithm, it is necessary to reduce the number of occurrences of backtracks in the algorithm and to shorten the processing time between backtracks, which is presented by FAN (fan-out-oriented test generation algorithm). FAN is a complete algorithm in that it will generate a test if one exists [3]. The obvious reason to test circuits is to separate good ones from faulty ones [2]. In this paper we consider the stuck-at fault circuit because it stuck at model has been widely accepted as a standard target model for the generation of the test pattern for current circuit. Section II introduces to the basic architecture or block diagram of pattern generator. Section III introduce to the proposed algorithm. Section IV gives information about Implementation of algorithm in detail. Section V deals with Gray patterns by which reduce the switching activity of generated pattern. Section VI gives explanation of algorithm with example. Section VII concentrates on the testing time, power, & fault coverage respectively and finally section VIII discusses about conclusion and future scope. II. BLOCK DIAGRAM OF PATTERN GENERATOR In this section discussed about the architecture of proposed design, figure 1 Show the block diagram of proposed design. A first step in this is to generate the patterns to test combinational circuit and it s done by only applying proposed algorithm to the circuit. Page 286

2 Generated patterns are stored in memory or in one file and gives to the CUT for testing propose and check the results for circuit using ORA. Result comes in PASS/FAIL, its filter the bad circuit from good one and ship to the customer. Check & Implication process: The tasks of the process are Compute all values that can be uniquely determined by. There are two types of ass per discussion above: Input File (.v) Verilog code circuit design Proposed Algorithm Pattern generated with gray techniques 1. Backward Implication 2. Forward Implication START Generated pattern apply to the CUT for test Define directive, integer & arrays to store net value Result (Pass/Fail)? ORA (output response analyzer) CUT (circuit under test) Open a file (.V) in read mode by open in perl and another in write mode to store generated pattern III. Figure 1: Pattern Generator block diagram PROPOSED ALGORITHM FOR PATTERN GENERATOR Main steps are described below with the flow chart of proposed ATPG algorithm for the test generation. It s used for the combinational circuit with 2 fanin-fanout gates like AND, OR, NAND, NOR, XOR, XNOR. Flow chart of proposed ATPG algorithm is given in figure 2. Scan input file to find input output and wire and fan-in & fan-outs with respect to gate Perform backward by calling imply_check function Set current objective and select one by one & call multiple backtrack Backward Multiple backtracks IV. IMPLIMENTATION OF ALGORITHM To implement pattern generator modified algorithm based on FAN which is shown in figure 2 with detail description in blocks. The steps for implementation of proposed algorithm are as under. The first step for the develop program is to find the name of the signal like head objectives, free lines, bound lines etc. from the combinational circuit, so define all the directives, data and array to store names of signals. Next step is to open a file (Verilog file with.v extension) for which you want to generate pattern by open function in Perl script. Scan the input file line by line with file handler and store the data in storage element like array or hash in Perl, or also generate one netlist.txt file as input for further process. After define all signal take one by one signal from the list of signals and perform imply_check function. We determine as many signal values as possible which can be uniquely implied. To do this, we take the operation which completely traces such signal determination both forwards and backwards through the circuit [1]. Select all head objective from array and perform forward for each head objective by call imply_check function Justify all unjustified headlines if its primary IP & store the value in pattern file Is there fault propagate at output & all lines justified? END Forward Assign value & add in current objective Figure 2: Proposed Algorithm for PG Backward Implication: First step in the backward is set all signal value to x by. Now select one signal from the signal list and give inverse value to that signal is called activation of fault. Activation of Fault is to give an opposite value to the Stuck-at value denoted by D or D. Figure 3 shows the example of activations of faults. Page 287

3 A=1 B=1 (A) E=D A=X B=X (B) B=1 E=D A=1 C=1 E=D (C) Set Current Objective: now set the current objective for the multiple back trace process, if fault is at PI and its stem then find branches of it & put it in current objective. If fault is on Pi and if it is fan-in then repeats process. In XOR gate case, no controlling value so both fan-in s put in the current objective. Multiple backtracks Figure 3: Activation of faults (A) E s-a-0 (B) E s-a-1 (C) E s-a-0 Take justification value v where v (0 and 1) is fault at signal & perform the backward process. The flow chart of the Backward Implication process is shown in figure 4 [1].Perform it until the primary input reaches. If only 1 fan-in is to be justify then use less controlling value & we can got it from controllability 0 and 1 (CC0, CC1) from [5]. Set all vaule to x Find the name of signal which fault is present if stuck at 1 then set value to 0 else set value to 1. If it is form (fanout branch) Backward If it is O/p of gates If its P/I Fanin1 Its Headline? Store signal name & value in head objective Input value 0 & C0_1<C1_2 Fanin2 Input value = control value? Find fanin 1 & fainin 2 name Select signal from current objective and value respectively and fine signal position at gate Input value 1 & C0_1<C1_2 Fanin1 Its input of gate? Calculate input value Input value!= control value? Find fanin 1 & fainin 2 name Fanin2 Assign oncountrolling value to Both the fanin ( first to fanin which has highest controllability value Its from fan-out? Find the stream of fanout braches value Is 0? Increment n0 by 1 Store name of signal also n0 & n1 Strm Objectives Null? Exit Increment n1 by 1 Find stream of fan-out and set value for steam Store the value Exit Figure 5: Flow chart of multiple Back trace AND with 0 or NAND with 1 Find fanin1,fanin2 Assign value=0 fanin with less CC0 value if CC0 of both fanin are equal then to fanin NAND with 0 or AND with 1 Find fanin1 and fanin2 value=1 to both NOR with 0 or OR with 1 Find fanin1,fanin2 Assign value=1 Fanin with less CC0 value if CC0 of both fanin are equal then to fanin OR with 0 or NOR with 1 Find fanin1 and fanin2 value=1 to both Figure 4: Flow chart of Backward Implication Multiple Back trace: Then call Multiple Back trace function used by FAN algorithm and perform until current objective is null. If between this processes if any stems comes then add it in to steam objective [1]. Here an array is formed for stem objective with stem netno, n0 (netno), n1 (netno). Where n0 (netno) shows how many times the logic value 0 comes at the stem netno and n1 (netno) shows the how many times the logic 1 value comes at stem netno [1]. If one of them is zero then contradiction will not occurs. If n0>n1 then take logic value 0 for further back tracing. If n1>n0 then take logic value 1 for further back tracing. Figure 5 shows the flow of multiple backtracking for current objective. Forward Implication: Take head objective one by one and perform forward until to PO. If PO Page 288

4 reaches then stop. In each step of forward, check the value on net ed during the backward [1]. If both the values, ed during backward and value to be during forward are matches then Only otherwise take the reverse decision, make complimentary value of head objective and do forward [1]. Even if there is a mismatch or contradiction then return to the end of program. Forward logic is described by the flow chart given in figure 6. After perform forward process check all bound lines are justified or not. If not then take it as a current objective & call Multiple Back trace function for these lines. Forward Take inverse value of signal value and check its gate or stem or PO generates patterns in gray sequence from binary. Let s Consider, The Binary number B 1 B 2 B 3 B 4... B n and the Gray code is G 1 G 2 G 3 G 4... G n. 1. Most significant bit (B 1 ) is same as the most significant bit in Gray Code (B 1 = G 1 ) 2. To find next bit perform Ex-OR (Exclusive OR) between the Current binary bit and previous bit. G n = B n (Ex-OR) B n-1 Now compare the first gray pattern with generated patterns by algorithm for particular circuit. If pattern match then puts in first place, now take second pattern from gray sequence and repeat process again and it will continue up to the end of all patterns of gray sequence. Example: consider 3PI circuit, here apply algorithm and pattern generated for that is in table 1 now compare it with 3 bit gray pattern sequence and rearrange it in gray sequence. Now check the switching activity difference between generated pattern after algorithm and patterns after rearranged, it shows the reduction of switching activity in patterns. So by these techniques we can reduce power consumption for pattern generator. F_value =1 and Make PO flag on Exit PO For AND 0 to O/P For NAND 1 to O/P F_value =0 or Signal value is 0? Temp_set_value=1 set_value=x o/p set_value=contra Temp_set_value=0 set_value=x o/p set_value=contra Gate Signal is fanin1 or fanin 2? N F_value=1 Stem For NOR 0 to O/P For OR 1 to O/P F_value= 1, nand Find fanout branches Fanin 1 Fanin2 s Assign value=temp_set_value F_value =0 nor Temp_set_value=1 set_value=x o/p set_value=contra Temp_set_value=0 set_value=x o/p set_value=contra Here in figure 7, gray patterns shows in blue color with minimum switching activity, before block show the generated patterns in by algorithm with 9 switching activity and after block patterns after rearrangement in gray sequence with reduction up to 7 switching activity. Gray sequence Before After Generated patterns Compared with gray sequence Total number of switching activity is Total number of switching activity is 7 Figure 7: Reduction of switching activity Figure 6: Flow chart of Forward Implication V. GRA TECHNIQUES TO REDUCE SWITCHING ACTIVIT For reduction of switching activity of generated patterns we need to rearrange it s in gray number sequence. First as per the no of inputs of the circuit we want to generate all patterns in Gray sequence by the gray counter generation. By equation 1 VI. EXAMPLE In figure 8 circuits is taken to explain the working of proposed ATPG algorithm. Consider the s-a-0 fault in the signal OP. Now justify the value 1. First of all consider initial value of each net is X. Now activate the fault by apply opposite value 1 to w1 net and perform backward. In this case when only one input is to be justify then compare controllability values of both the fan-in of Page 289

5 gate and to the fan-in with less controllability value. i1 i2 i3 and w1 Figure 8: CUT Here (op, 1) is the initial objective. Select one gate from the D frontier, controlling value to the input of the gate which has x value. In this case controlling value 1 to the i1. Remove one current objective. Take one current objective (w1, 1). Now call multiple back trace function and perform. Now current objective is (i1, 1). It is head line so stop the back trace here for this objective. Store net no in head objective (i1, 1). The various objective statuses are shown in table. Current Process Entry Objective (op, 1) (op, 1) (w1, 1) (w1, 1) (i1, 1) (i1, 1) or Table 1 : status of Objectives op Head Objective - - (i1, 1) But with the fault collapse method we reduce is up to 2 faults shown in window 2(figure 10). Now apply algorithm to the reduced fault and generate pattern for each fault. In figure 11 shows the generated pattern according to the fault of particular net. Figure 10: Total no of faults after reduction Now current objective is null. Take head objective one by one and apply forward. Here no contradiction has occurred. Now check there are any unjustified bound lines or not. Here no any lines available. And fault is propagating to the PO. So for s-a-0 at w signal pattern generated is 110. VII. RESULT OF EXAMPLE A result for above circuit is shown below. The program for proposed design is return in Perl language and output is show by the snap shot of output cmd window. Proposed design is generic. Here total no of fault is 5 in the circuit as shown in figure 9 with net no. Figure 11: pattern generated for faults. The number of reduced bits and computing time for these circuits are mentioned in table 2. Circuit No No of bits Computi No. of nets Before fault reduction After fault reduction ng time (Sec.) Circuit C Circuit Table10: comparison of bits and computing time. Figure 9: Total no of faults before e reduction VIII. CONCLUSION By proposed ATPG design we can test any random complex logic circuits so, it is totally in-depended to the circuit. In circuit large number of combinational logic used so logic depth is large, so it is impossible to test every fault with Page 290

6 the hand because its take more time. To overcome this problem new ATPG design is discussed which tests logical designs automatically. As complexity increase no of test pattern increase so we can reduce the test pattern by the proposed system which increase the speed of testing so, by proposed architecture we reduce the test time. By reducing switching activity reduce the power consumption of the Proposed ATPG equipment. The target of proposed architecture is to get fault coverage larger up-to 100% for combinational circuit. ACKNOWLEDGMENT Any accomplishment requires the effort of many people and this work is not different. And it is my prime duty to acknowledge the person who directly or indirectly helped me during completion of this dissertation report. So I take opportunity to heartily thank our project guide respected Mr. Hitesh Pradhan for his valuable guidance and touch of inspiration and motivation throughout the literature work without whose help the work would not have been in the shape what it is. REFERENCES [1] National Institute of Vaishali Dhare, Dr. Usha Mehta, Advanced ATPG Based on Fan, Testability Measures and Fault Reduction International Journal of VLSI design & Communication Systems (VLSICS) Vol.5, No.2, April [2] Tom Kirkland, M. Ray Mercer, A Tutorial of Algorithm for Automatic Test Pattern Generation, Design &Test of Computers, IEEE (Volume: 5, Issue: 3), June1988. [3] Hideo Fujiwara., Takeshi Shimono, On the Acceleration of Test Generation Algorithm, IEEE transaction on computers, Vol. C-32, No 12, December 1983, pp [4] Roland Dobai, Elena Gramatová Institute of Informatics Slovak Academy of Sciences, Test Pattern Generation for the Combinational Representation of Asynchronous Circuits, 2010 IEEE. [5] Vaishali Dhare, Usha Mehta, Development of Controllability Observability Aided Combinational ATPG with Fault Reduction, Lecture Notes in Computer Science, Recent Trends in Networks and Communications, Springer, Pg. No , July [6] S.Saravanan, Har Narayan Upadhyay, Asst. Prof., School Of Computing, Assoc. Dean, School Of Electrical And Electronics, Sastra, Transition Based Input Test Vector Partitioning For Low Power Switching Activity, Journal Of Theoretical And Applied Information Technology, 31st October [7] Abramovici, M.A. Breuer and A.D. Friedman, Digital Systems Testing and Testable Design, IEEE Press, NJ [8] Micheal Bushnell and Vishwani Agrawal, Essentials of Electronic Testing, Springer Publication. [9] Alexander Miczo, Digital Logic Testing And Simulation Published by John Wiley & Sons, Inc., Hoboken, New Jersey. Page 291

Testing Digital Systems I

Testing Digital Systems I Testing igital Systems I Testing igital Systems I Lecture 8: Boolean Testing Using Fault Models ( Algorithm) Instructor: M. Tahoori Copyright 2, M. Tahoori TS I: Lecture 8 Specific-Fault Oriented Test

More information

Fault Diagnosis in Combinational Logic Circuits: A Survey

Fault Diagnosis in Combinational Logic Circuits: A Survey IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 02, 2015 ISSN (online): 2321-0613 Fault Diagnosis in Combinational Logic Circuits: A Survey Sarang S. Samangadkar 1 Shridhar

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng.

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. An Ecient Path Delay Fault Coverage Estimator Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. AT&T Bell Labs Rutgers University

More information

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER ABSTRACT Vaishali Dhare 1 and Usha Mehta 2 1 Assistant Professor, Institute of Technology, Nirma University, Ahmedabad

More information

PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY

PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY JasbirKaur 1, Sumit Kumar 2 Asst. Professor, Department of E & CE, PEC University of Technology, Chandigarh, India 1 P.G. Student,

More information

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org A FPGA Implementation of Power

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

JDT EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS

JDT EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS JDT-002-2013 EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS E. Prakash 1, R. Raju 2, Dr.R. Varatharajan 3 1 PG Student, Department of Electronics and Communication Engineeering

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

Design of an optimized multiplier based on approximation logic

Design of an optimized multiplier based on approximation logic ISSN:2348-2079 Volume-6 Issue-1 International Journal of Intellectual Advancements and Research in Engineering Computations Design of an optimized multiplier based on approximation logic Dhivya Bharathi

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Design and Analysis of RNS Based FIR Filter Using Verilog Language

Design and Analysis of RNS Based FIR Filter Using Verilog Language International Journal of Computational Engineering & Management, Vol. 16 Issue 6, November 2013 www..org 61 Design and Analysis of RNS Based FIR Filter Using Verilog Language P. Samundiswary 1, S. Kalpana

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 4.72 International Journal of Advance Engineering and Research Development Volume 5, Issue 01, January -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 Comparative

More information

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs.

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. 2 Logic Gates A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. THE INVERTER The inverter (NOT circuit) performs the operation called inversion

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

This Figure here illustrates the operation for a 2-input OR gate for all four possible input combinations.

This Figure here illustrates the operation for a 2-input OR gate for all four possible input combinations. Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 5 Lecture Title:

More information

THE technology independent multilevel logic minimization

THE technology independent multilevel logic minimization 1494 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 15, NO. 12, DECEMBER 1996 Perturb and Simplify: Multilevel Boolean Network Optimizer Shih-Chieh Chang, Malgorzata

More information

Lecture 02: Digital Logic Review

Lecture 02: Digital Logic Review CENG 3420 Lecture 02: Digital Logic Review Bei Yu byu@cse.cuhk.edu.hk CENG3420 L02 Digital Logic. 1 Spring 2017 Review: Major Components of a Computer CENG3420 L02 Digital Logic. 2 Spring 2017 Review:

More information

An Efficient Automatic Test Pattern Generator for

An Efficient Automatic Test Pattern Generator for VLSI Design 1994, Vol. 2, No. 3, pp. 199-207 Reprints available directly from the publisher Photocopying permitted by license only (C) 1994 Gordon and Breach Science Publishers S.A. Printed in the United

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output.

LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output. LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output. At any given moment, every terminal is in one of the two

More information

A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation

A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation P.Pattunarajam 1, V.Srividhya 2, Dr.Reeba Korah 3 1 Research Scholar, Dept. of ECE, Anna University, Chennai 2 PG Student,

More information

Path Delay Test Compaction with Process Variation Tolerance

Path Delay Test Compaction with Process Variation Tolerance 50.1 Path Delay Test Compaction with Process Variation Tolerance Seiji Kajihara Masayasu Fukunaga Xiaoqing Wen Kyushu Institute of Technology 680-4 Kawazu, Iizuka, 820-8502 Japan e-mail:{kajihara, fukunaga,

More information

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator Vol.2, Issue.3, May-June 22 pp-676-681 ISSN 2249-6645 A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator K. Nivitha 1, Anita Titus 2 1 ME-VLSI Design 2 Dept of

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

IN THIS PAPER, we present a technique focusing on the

IN THIS PAPER, we present a technique focusing on the 102 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 23, NO. 1, JANUARY 2004 Fast Postplacement Optimization Using Functional Symmetries Chih-Wei (Jim) Chang, Ming-Fu

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

GRAPHIC ERA UNIVERSITY DEHRADUN

GRAPHIC ERA UNIVERSITY DEHRADUN GRAPHIC ERA UNIVERSITY DEHRADUN Name of Department: - Electronics and Communication Engineering 1. Subject Code: TEC 2 Course Title: CMOS Analog Circuit Design 2. Contact Hours: L: 3 T: 1 P: 3. Examination

More information

UNIT-III ASYNCHRONOUS SEQUENTIAL CIRCUITS TWO MARKS 1. What are secondary variables? -present state variables in asynchronous sequential circuits 2. What are excitation variables? -next state variables

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

Energy Efficient Code Converters Using Reversible Logic Gates

Energy Efficient Code Converters Using Reversible Logic Gates Energy Efficient Code Converters Using Reversible Logic Gates Gade Ujjwala MTech Student, JNIT,Hyderabad. Abstract: Reversible logic design has been one of the promising technologies gaining greater interest

More information

SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR FOR STUCK-OPEN FAULTS IN CMOS COMBINATIONAL CIRCUITS

SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR FOR STUCK-OPEN FAULTS IN CMOS COMBINATIONAL CIRCUITS SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR FOR STUCK-OPEN FAULTS IN CMOS COMBINATIONAL CIRCUITS Hyung Ki Lee and Dong Sam Ha Department of Eiectrical Engineering Virginia Polytechnic Institute

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method

Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method Yifei Sun 1,a, Shu Sasaki 1,b, Dan Yao 1,c, Nobukazu Tsukiji 1,d, Haruo Kobayashi 1,e 1 Division of Electronics and Informatics,

More information

Digital. Design. R. Ananda Natarajan B C D

Digital. Design. R. Ananda Natarajan B C D Digital E A B C D 0 1 2 3 4 5 6 Design 7 8 9 10 11 12 13 14 15 Y R. Ananda Natarajan Digital Design Digital Design R. ANANDA NATARAJAN Professor Department of Electronics and Instrumentation Engineering

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

CMOS Circuit Design for Minimum Dynamic Power. and Highest Speed

CMOS Circuit Design for Minimum Dynamic Power. and Highest Speed CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja Vishwani D. Agrawal y Michael L. Bushnell Rutgers University, Dept. of ECE Rutgers University, Dept. of ECE Rutgers University,

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design Books A. Crouch. Design for Test for Digital ICs and Embedded Core Systems Prentice Hall, 1999. M. Abramovici, M. Breuer, A. Friedman. Digital System Testing and Testable Design Computer Science Press,

More information

Reconfigurable High Performance Baugh-Wooley Multiplier for DSP Applications

Reconfigurable High Performance Baugh-Wooley Multiplier for DSP Applications Reconfigurable High Performance Baugh-Wooley Multiplier for DSP Applications Joshin Mathews Joseph & V.Sarada Department of Electronics and Communication Engineering, SRM University, Kattankulathur, Chennai,

More information

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Hillary Grimes and Vishwani D. Agrawal Dept. of ECE, Auburn University Auburn, AL 36849 grimehh@auburn.edu, vagrawal@eng.auburn.edu Abstract

More information

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER 1 CH.JAYA PRAKASH, 2 P.HAREESH, 3 SK. FARISHMA 1&2 Assistant Professor, Dept. of ECE, 3 M.Tech-Student, Sir CR Reddy College

More information

Implementation of Cmos Adder for Area & Energy Efficient Arithmetic Applications

Implementation of Cmos Adder for Area & Energy Efficient Arithmetic Applications American Journal of Engineering Research (AJER) 2016 American Journal of Engineering Research (AJER) e-issn: 2320-0847 p-issn : 2320-0936 Volume-5, Issue-7, pp-146-155 www.ajer.org Research Paper Open

More information

ASP-DAC $ IEEE

ASP-DAC $ IEEE A Testability Analysis Method for Register-Transfer Level Descriptions Mizuki TAKAHASHI, Ryoji SAKURAI, Hiroaki NODA, and Takashi KAMBE Precision Technology Development Center, SHARP Corporation Tenri,

More information

Digital Logic Circuits

Digital Logic Circuits Digital Logic Circuits Let s look at the essential features of digital logic circuits, which are at the heart of digital computers. Learning Objectives Understand the concepts of analog and digital signals

More information

EFFICIENT DESIGN AND IMPLEMENTATION OF ADDERS WITH REVERSIBLE LOGIC

EFFICIENT DESIGN AND IMPLEMENTATION OF ADDERS WITH REVERSIBLE LOGIC EFFICIENT DESIGN AND IMPLEMENTATION OF ADDERS WITH REVERSIBLE LOGIC Manoj Kumar K 1, Subhash S 2, Mahesh B Neelagar 3 1,2 PG Scholar, 3 Assistant Professor, Dept of PG studies, VTU-Belagavi, Karnataka

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers

Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers Justin K Joy 1, Deepa N R 2, Nimmy M Philip 3 1 PG Scholar, Department of ECE, FISAT, MG University, Angamaly, Kerala, justinkjoy333@gmail.com

More information

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing Yelle Harika M.Tech, Joginpally B.R.Engineering College. P.N.V.M.Sastry M.S(ECE)(A.U), M.Tech(ECE), (Ph.D)ECE(JNTUH), PG DIP

More information

DESIGN OF CARRY SELECT ADDER WITH REDUCED AREA AND POWER

DESIGN OF CARRY SELECT ADDER WITH REDUCED AREA AND POWER DESIGN OF CARRY SELECT ADDER WITH REDUCED AREA AND POWER S.Srinandhini 1, C.A.Sathiyamoorthy 2 PG scholar, Arunai College Of Engineering, Thiruvannamalaii 1, Head of dept, Dept of ECE,Arunai College Of

More information

A Novel Fuzzy Neural Network Based Distance Relaying Scheme

A Novel Fuzzy Neural Network Based Distance Relaying Scheme 902 IEEE TRANSACTIONS ON POWER DELIVERY, VOL. 15, NO. 3, JULY 2000 A Novel Fuzzy Neural Network Based Distance Relaying Scheme P. K. Dash, A. K. Pradhan, and G. Panda Abstract This paper presents a new

More information

Implementation and Performance Evaluation of Prefix Adders uing FPGAs

Implementation and Performance Evaluation of Prefix Adders uing FPGAs IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 1 (Sep-Oct. 2012), PP 51-57 Implementation and Performance Evaluation of Prefix Adders uing

More information

Self-Checking Carry-Select Adder Design Based on Two-Pair Two-Rail Checker

Self-Checking Carry-Select Adder Design Based on Two-Pair Two-Rail Checker Self-Checking Carry-Select Adder Design Based on Two-Pair Two-Rail Checker P.S.D.Lakshmi 1, K.Srinivas 2, R.Satish Kumar 3 1 M.Tech Student, 2 Associate Professor, 3 Assistant Professor Department of ECE,

More information

FPGA Implementation of Area Efficient and Delay Optimized 32-Bit SQRT CSLA with First Addition Logic

FPGA Implementation of Area Efficient and Delay Optimized 32-Bit SQRT CSLA with First Addition Logic FPGA Implementation of Area Efficient and Delay Optimized 32-Bit with First Addition Logic eet D. Gandhe Research Scholar Department of EE JDCOEM Nagpur-441501,India Venkatesh Giripunje Department of ECE

More information

AREA AND POWER EFFICIENT CARRY SELECT ADDER USING BRENT KUNG ARCHITECTURE

AREA AND POWER EFFICIENT CARRY SELECT ADDER USING BRENT KUNG ARCHITECTURE AREA AND POWER EFFICIENT CARRY SELECT ADDER USING BRENT KUNG ARCHITECTURE S.Durgadevi 1, Dr.S.Anbukarupusamy 2, Dr.N.Nandagopal 3 Department of Electronics and Communication Engineering Excel Engineering

More information

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. VII (Mar - Apr. 2014), PP 14-18 High Speed, Low power and Area Efficient

More information

SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR

SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR FOR STUCK-OPEN FAULTS IN CMOS COMBINATIONAL CIRCUITS Hyung Ki Lee and Dong Sam Ha De part m e nt of E I ect r i ca I En g i nee r i n g Virginia Polytechnic

More information

Figure 1 Basic Block diagram of self checking logic circuit

Figure 1 Basic Block diagram of self checking logic circuit Volume 4, Issue 7, July 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design Analysis

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

Run-Length Based Huffman Coding

Run-Length Based Huffman Coding Chapter 5 Run-Length Based Huffman Coding This chapter presents a multistage encoding technique to reduce the test data volume and test power in scan-based test applications. We have proposed a statistical

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Marco Storto and Roberto Saletti Dipartimento di Ingegneria della Informazione: Elettronica, Informatica,

More information

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design M.S.Kavitha 1 1 Department Of ECE, Srinivasan Engineering College Abstract Design for testability

More information

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic Basthana Kumari PG Scholar, Dept. of Electronics and Communication Engineering, Intell Engineering College,

More information

FPGA IMPLEMENATION OF HIGH SPEED AND LOW POWER CARRY SAVE ADDER

FPGA IMPLEMENATION OF HIGH SPEED AND LOW POWER CARRY SAVE ADDER ARTICLE FPGA IMPLEMENATION OF HIGH SPEED AND LOW POWER CARRY SAVE ADDER VS. Balaji 1*, Har Narayan Upadhyay 2 1 Department of Electronics & Instrumentation Engineering, INDIA 2 Dept.of Electronics & Communication

More information

Chapter 4 Combinational Logic Circuits

Chapter 4 Combinational Logic Circuits Chapter 4 Combinational Logic Circuits Chapter 4 Objectives Selected areas covered in this chapter: Converting logic expressions to sum-of-products expressions. Boolean algebra and the Karnaugh map as

More information

Class Project: Low power Design of Electronic Circuits (ELEC 6970) 1

Class Project: Low power Design of Electronic Circuits (ELEC 6970) 1 Power Minimization using Voltage reduction and Parallel Processing Sudheer Vemula Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL. Goal of the project:- To reduce the power consumed

More information

Minimization of Area and Power in Digital System Design for Digital Combinational Circuits

Minimization of Area and Power in Digital System Design for Digital Combinational Circuits Indian Journal of Science and Technology, Vol 9(29), DOI: 10.17485/ijst/2016/v9i29/93237, August 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Minimization of Area and Power in Digital System

More information

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits 1 Module-4 Design and Analysis of Combinational Circuits 4.1 Motivation: This topic develops the fundamental understanding and design of adder, substractor, code converter multiplexer, demultiplexer etc

More information

Design and Implementation of Reversible Multiplier using optimum TG Full Adder

Design and Implementation of Reversible Multiplier using optimum TG Full Adder IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 3, Ver. IV (May - June 2017), PP 81-89 www.iosrjournals.org Design and Implementation

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Real Time Traffic Light Control System Using Image Processing

Real Time Traffic Light Control System Using Image Processing Real Time Traffic Light Control System Using Image Processing Darshan J #1, Siddhesh L. #2, Hitesh B. #3, Pratik S.#4 Department of Electronics and Telecommunications Student of KC College Of Engineering

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing

Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing 2015 International Conference on Computer Communication and Informatics (ICCCI -2015), Jan. 08 10, 2015, Coimbatore, INDIA Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing S.Padmapriya

More information

Vol. 5, No. 6 June 2014 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved.

Vol. 5, No. 6 June 2014 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved. Optimal Synthesis of Finite State Machines with Universal Gates using Evolutionary Algorithm 1 Noor Ullah, 2 Khawaja M.Yahya, 3 Irfan Ahmed 1, 2, 3 Department of Electrical Engineering University of Engineering

More information

UNIT II: Clocked Synchronous Sequential Circuits. CpE 411 Advanced Logic Circuits Design 1

UNIT II: Clocked Synchronous Sequential Circuits. CpE 411 Advanced Logic Circuits Design 1 UNIT II: Clocked Synchronous Sequential Circuits CpE 411 Advanced Logic Circuits Design 1 Unit Outline Analysis of Sequential Circuits State Tables State Diagrams Flip-flop Excitation Tables Basic Design

More information

Initial Vectors (random) Filter (Fault-simulation Based Compaction) Yes. done? predict/construct future vectors; append to test set.

Initial Vectors (random) Filter (Fault-simulation Based Compaction) Yes. done? predict/construct future vectors; append to test set. Ecient Spectral Techniques for Sequential ATPG Ashish Giani y, Shuo Sheng y, Michael S. Hsiao y, and Vishwani D. Agrawal z y Department of Electrical and Computer Engineering, Rutgers University, Piscataway,

More information

LOW POWER AND AREA- EFFICIENT HALF ADDER BASED CARRY SELECT ADDER DESIGN USING COMMON BOOLEAN LOGIC FOR PROCESSING ELEMENT

LOW POWER AND AREA- EFFICIENT HALF ADDER BASED CARRY SELECT ADDER DESIGN USING COMMON BOOLEAN LOGIC FOR PROCESSING ELEMENT th June. Vol. No. - JATIT & LLS. All rights reserved. ISSN: 99-8 www.jatit.org E-ISSN: 87-9 LOW POWER AND AREA- EFFICIENT LF ADDER BASED CARRY SELECT ADDER DESIGN USING COMMON BOOLEAN LOGIC FOR PROCESSING

More information

Design of Low Power Baugh Wooley Multiplier Using CNTFET

Design of Low Power Baugh Wooley Multiplier Using CNTFET Technology Volume 1, Issue 2, October-December, 2013, pp. 50-54, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 Design of Low Power Baugh Wooley Multiplier Using CNTFET Nayana Remesh,

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator ELECTRONICS, VOL. 13, NO. 1, JUNE 2009 37 Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator Miljana Lj. Sokolović and Vančo B. Litovski Abstract The lack of methods and tools for

More information

Department of Electronics and Communication Engineering

Department of Electronics and Communication Engineering Department of Electronics and Communication Engineering Sub Code/Name: BEC3L2- DIGITAL ELECTRONICS LAB Name Reg No Branch Year & Semester : : : : LIST OF EXPERIMENTS Sl No Experiments Page No Study of

More information

Enhanced Efficient Halftoning Technique used in Embedded Extended Visual Cryptography Strategy for Effective Processing

Enhanced Efficient Halftoning Technique used in Embedded Extended Visual Cryptography Strategy for Effective Processing Enhanced Efficient Halftoning Technique used in Embedded Extended Visual Cryptography Strategy for Effective Processing M.Desiha Department of Computer Science and Engineering, Jansons Institute of Technology

More information

Generation of Digital System Test Patterns Based on VHDL Simulations

Generation of Digital System Test Patterns Based on VHDL Simulations POSTER 2006, PRAGUE MAY 18 1 Generation of Digital System Test Patterns Based on VHDL Simulations Miljana SOKOLOVIĆ 1, Andy KUIPER 2 1 LEDA laboratory, aculty of Electronic Engineering, University of Niš,

More information

A Low Power VLSI Design of an All Digital Phase Locked Loop

A Low Power VLSI Design of an All Digital Phase Locked Loop A Low Power VLSI Design of an All Digital Phase Locked Loop Nakkina Vydehi 1, A. S. Srinivasa Rao 2 1 M. Tech, VLSI Design, Department of ECE, 2 M.Tech, Ph.D, Professor, Department of ECE, 1,2 Aditya Institute

More information

Design and implementation of LDPC decoder using time domain-ams processing

Design and implementation of LDPC decoder using time domain-ams processing 2015; 1(7): 271-276 ISSN Print: 2394-7500 ISSN Online: 2394-5869 Impact Factor: 5.2 IJAR 2015; 1(7): 271-276 www.allresearchjournal.com Received: 31-04-2015 Accepted: 01-06-2015 Shirisha S M Tech VLSI

More information

A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture

A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture Syed Saleem, A.Maheswara Reddy M.Tech VLSI System Design, AITS, Kadapa, Kadapa(DT), India Assistant Professor, AITS, Kadapa,

More information

February IEEE, VI:20{32, 1985.

February IEEE, VI:20{32, 1985. Acknowledgements The authors thank Joel Ferguson, J. Alicia Grice, Alvin Jee, Haluk Konuk, Rich McGowen, and Carl Roth for technical contributions. This work was supported by the Semiconductor Research

More information