Improved scanner matching using Scanner Fleet Manager (SFM)

Size: px
Start display at page:

Download "Improved scanner matching using Scanner Fleet Manager (SFM)"

Transcription

1 Improved scanner matching using Scanner Fleet Manager (SFM) Shian-Huan Cooper Chiu a, Chin-Lung Lee a, Sheng-Hsiung Yu a, Kai-Lin Fu a, Min-Hin Tung a, Po-Chih Chen a ; Chao-Tien Huang b, Chien-Chun Elsie Yu b, Chin-Chou K. Huang c, John C. Robinson* c, David Tien c ; a Rexchip Electronics Corp., No.429-1, Sanfong Rd.,Houli Township, Taichung County, Central Taiwan Science Park, Taiwan; b KLA-Tencor Taiwan, 1F, 22, TaiYuan Street, ChuPei City, HsinChu County 302, Taiwan ; c KLA-Tencor Corp., One Technology Drive, Milpitas, CA 95035; ABSTRACT This project is the continuation of work reported previously at this conference (Yu, et. al., SPIE 2009). A new software tool for developing a static scanner fleet matching (SFM) matrix is tested including fleet snapshot and scanner pair drilldown. In addition the latest scanner models can adjust the distortion performance dynamically, at run-time, improving effective overlay performance of the scanner fleet, and allowing more flexibility for mix-and match exposure. The goal is to improve overlay mean +3s significantly between scanners for critical layer pairs. Keywords: scanner matching, overlay, metrology 1. INTRODUCTION Overlay performance has been a critical factor for advanced semiconductor manufacturing for many years. Over time these requirements become more stringent as design rules shrink. The transition to advanced nodes is requiring significant innovations such as the transition to high order control. A dominant component of the overlay error budget is a result of scanner matching errors, either machine to machine or illumination mode to illumination mode. For most critical layers, scanners are lot-to-lens dedicated, so no mix and match is allowed. For non-critical layers, however, mix and match enables better overall equipment effectiveness. Material can be routed to available machines, and multiple generations of scanners can be utilized. Current methods for fleet management are typically very time consuming. Manually checking scanner mix and match results by the golden tool method is the traditional mode. Once established, a monitor wafer is used to put a scanner back into production. For this process it is desirable to have a software tool to provide improved time to results and more powerful analysis capability. KLA-Tencor Corp. has developed a module in KT Analyzer to provide Scanner Fleet Matching (SFM) capability. The goal is to allow the user to calculate distortion matching across the scanner fleet, and to calculate distortion fingerprints for specific combinations of scanner and illumination modes. The user can group matched scanners together based on the user s spec. Scanners can be from different generations and from different vendors. The steps involved with the analysis are as follows, as shown in Figure 1. First it is necessary to plan out the mix and match scheme. Typically an existing fab scheme is utilized for this analysis. Second, it is necessary to create the PM, or preventative maintenance, lots per plan. Then it is necessary to measure the lots and approve them for use in this analysis. Finally, the data is available for the mix and match analysis. The analysis tool enables a flexible scanner and illumination condition snapshot for fleet matching, and in addition, the ability to look at scanner pair deltas or fingerprints. Metrology, Inspection, and Process Control for Microlithography XXIV, edited by Christopher J. Raymond, Proc. of SPIE Vol. 7638, 76382A 2010 SPIE CCC code: X/10/$18 doi: / Proc. of SPIE Vol A-1

2 Figure 1. SFM work flow: (1) planning, (2) make PM lots, (3) measure & approve PM lots, and (4) group scanners. 2. EXPERIMENT The experiments done in this work involve mix and match between ArF scanners, KrF scanners, and i-line scanners from multiple vendors. The metrology was done with an Archer 100 from KLA-Tencor Corp. and the analysis was done using KT Analyzer s Scanner Fleet Manager (SFM) option. The current method for scanner fleet management involves the golden scanner approach, as shown in Figure 2. For a given group of scanners, matching is established between each tool and the golden scanner only. If the matching is out of spec, then the scanner is shut down until adjustments can be made and it can be established that the scanner is back in spec. Proc. of SPIE Vol A-2

3 Figure 2. Schematic of Golden-tool based scanner fleet management. Each tool for a given group of scanners, such as the green group or the red group, must individually match the golden scanner. The method used for this work involve a more flexible and powerful approach. The SFM method provides a matching matrix to all tools, as shown in Figure 3, which is not the current fab practice. Further, there is no need for additional wafers or metrology steps than are currently being used. If a scanner is found to be out of spec, the Sources of Variation (SOV) analysis tool can be used to quickly troubleshoot the issue and effectively fix the scanner. Figure 3. Matching matrix approach to scanner fleet management. Analysis of all scanner/illumination combinations are possible. Proc. of SPIE Vol A-3

4 The Sources of Variation (SOV) analysis allows the user the ability to quantify wafer and field effects, including linear and high order, as well as un-modeled random contributions, as shown in Figure 4. From this it can quickly be determined which machine and/or illuminations have problems, and what needs to be done to resolve those issues. Figure 4. Sources of Variation (SOV) analysis quantifying wafer and field contributions of linear, high order, and un-modeled random effects. Further, it is then instructive to visualize the wafer and/or field level signatures on a pair-wise basis, as shown for example, in Figure 5. From this the engineer can then decide which issues can and should be addressed. Figure 5. Wafer level signatures for S1 to S2. (1) 2 nd and 3 rd order non-linear contribution, (b) wafer signature. Proc. of SPIE Vol A-4

5 3. SIMULATION RESULTS In this section we explore the simulated results for the improved mix and match method based on existing fab matching data. In this case we find that the scanner field-level matching is worse in the X direction than in Y. Further, the field level matching for the same scanner with different illumination IDs is very good, as shown in Figure 6. Figure 6. Scanner mix and match matrix shown with uncorrected mean + 3 sigma in X-dir. as the metric. The scanner field signature delta is shown for the combination shown in yellow. The circles show same scanner with different illumination IDs. As shown in Figure 7, the red square shows acceptable matching for scanners S2, S3, S4, and S5, however, it is also evident that matching performance between S1 and S6 requires some improvement. Figure 7. Scanner mix and match matrix shown with uncorrected mean + 3 sigma in Y-dir. as the metric. The scanner field signature delta is shown for the combination shown in yellow. The red square shows a suggested matching group. The black squares show potential matching issues. As shown in Figure 8, the yellow shows the scanner matching between S6-ID1 and S6-ID3. Figure 8. Scanner mix and match matrix shown with uncorrected mean + 3 sigma in X-dir as the metric. SFM can provide both Vector and Distortion Frame map of field signature delta. Proc. of SPIE Vol A-5

6 As shown in Figure 9, the yellow shows the scanner matching between S5-ID2 and S6-ID3. Figure 9. Scanner mix and match matrix shown with uncorrected mean + 3 sigma in Y-dir as the metric. The scanner field signature delta is shown for the combination shown in yellow. As shown in Figure 10, the yellow shows the scanner matching between S4-ID1 and S5-ID2. Figure 10. Scanner mix and match matrix shown with uncorrected absolute max X or Y as the metric. The scanner field signature delta is shown for the combination shown in yellow. As shown in Figure 11, the yellow shows the scanner matching between S3-ID1 and S4-ID1. Figure 11. Scanner mix and match matrix shown with uncorrected absolute max X or Y as the metric. The scanner field signature delta is shown for the combination shown in yellow. SFM can provide various and flexible scanner matching results for scanner grouping and scanner management purposes. Proc. of SPIE Vol A-6

7 4. CONCLUSIONS In this study we have seen the advantages of a systematic methodology for scanner fleet management using KLA-Tencor Corp. s KT Analyzer and the Scanner Fleet Manager (SFM) capability. Multiple metrics can be used, enhancing the ability to diagnose scanner issues, and the system supports any scanner vendor or generation. No extra wafers are required beyond current practices, and yet a more comprehensive matching matrix between scanner and scanner illumination combinations can be realized. The benefits include a significant saving of engineering time, the ability to quickly identify scanner issues regarding fleet management, and the potential to increase fab mix and match capability thereby improving overall equipment effectiveness. REFERENCES [1] Shian-Huan Cooper Chiu a ; Sheng-Hsiung Yu a ; Min-Hin Tung a,e ; Lei-Ken Wu a ; Ya-Tsz Yeh a ; James Manka b ; Chao- Tien Healthy Huang b ; John C. Robinson b, Chin-Chou Kevin Huang b ; David Tien b ; YuYu Chen c ; Katsushi Makino d ; Jium-Ming Lin e Improve scanner matching using automated real-time feedback control via scanner match maker (SMM) Proc. SPIE (2009) Proc. of SPIE Vol A-7

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Wei-Jhe Tzai a ; Howard Chen a ; Yu-Hao Huang a ; Chun-Chi Yu a ; Ching-Hung Bert Lin b ; Shi-Ming

More information

ABSTRACT (100 WORDS) 1. INTRODUCTION

ABSTRACT (100 WORDS) 1. INTRODUCTION Overlay target selection for 20-nm process on A500 LCM Vidya Ramanathan b, Lokesh Subramany a, Tal Itzkovich c, Karsten Gutjhar a, Patrick Snow a, Chanseob Cho a Lipkong ap b a GLOBALFOUNDRIES 400 Stone

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Overlay accuracy a metal layer study

Overlay accuracy a metal layer study Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor,

More information

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Critical Dimension Sample Planning for 300 mm Wafer Fabs 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Process resilient overlay target designs for advanced memory manufacture

Process resilient overlay target designs for advanced memory manufacture Process resilient overlay target designs for advanced memory manufacture Joonseuk Lee b, Mirim Jung b, Honggoo Lee b, Youngsik Kim b, Sangjun Han b, Michael E. Adel c, Tal Itzkovich c, Vladimir Levinski

More information

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography Laser bandwidth effect on overlay budget and imaging for the 45 nm and nm technology nodes with immersion lithography Umberto Iessi a, Michiel Kupers b, Elio De Chiara a Pierluigi Rigolli a, Ivan Lalovic

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Next-generation DUV light source technologies for 10nm and below

Next-generation DUV light source technologies for 10nm and below Next-generation DUV light source technologies for 10nm and below Ted Cacouris, Greg Rechtsteiner, Will Conley Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127 ABSTRACT Multi-patterning techniques

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented

More information

A Micro Scale Measurement by Telecentric Digital-Micro-Imaging Module Coupled with Projection Pattern

A Micro Scale Measurement by Telecentric Digital-Micro-Imaging Module Coupled with Projection Pattern Available online at www.sciencedirect.com Physics Procedia 19 (2011) 265 270 ICOPEN 2011 A Micro Scale Measurement by Telecentric Digital-Micro-Imaging Module Coupled with Projection Pattern Kuo-Cheng

More information

Anti-reflective coating for multipatterning lithography

Anti-reflective coating for multipatterning lithography Anti-reflective coating for multipatterning lithography Douglas J. Guerrero, Steve Gibbons, Joyce Lowes, Ramil Mercado Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT New bottom

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography Lithography D E F E C T I N S P E C T I O N Taking Sides to Optimize Wafer Surface Uniformity Backside Inspection Applications In Lithography Kay Lederer, Matthias Scholze, Ulrich Strohbach, Infineon Technologies

More information

Utilizing Self-Seeding RSOA with Faraday Rotator Mirror for Colorless Access Network

Utilizing Self-Seeding RSOA with Faraday Rotator Mirror for Colorless Access Network Utilizing Self-Seeding RSOA with Faraday Rotator Mirror for Colorless Access Network Yu-Fu Wu a, Jinu-Yu Sung a, and Chi-Wai Chow a, and Chien-Hung Yeh* b,c a Department of Photonics and Institute of Electro-Optical

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Automatic optical measurement of high density fiber connector

Automatic optical measurement of high density fiber connector Key Engineering Materials Online: 2014-08-11 ISSN: 1662-9795, Vol. 625, pp 305-309 doi:10.4028/www.scientific.net/kem.625.305 2015 Trans Tech Publications, Switzerland Automatic optical measurement of

More information

Turning Challenges into Opportunities

Turning Challenges into Opportunities Turning Challenges into Opportunities Outline Introduction of Realtek Semi. Corp. Design constrain for process limitation Design challenges in the future SoC design trend and design methodology Market

More information

The Application of Partial Discharge Measurement and Location on CGIS

The Application of Partial Discharge Measurement and Location on CGIS International Journal on Electrical Engineering and Informatics Volume 4, Number 3, October 2012 The Application of Partial Discharge Measurement and Location on CGIS Min-Yen Chiu¹, Keng-Wei Liang¹, Chang-Hsing

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength E. Golan *a, D. Meshulach a, N. Raccah a, J.Ho Yeo a, O. Dassa a, S. Brandl b, C. Schwarz b, B. Pierson c, and W. Montgomery d [check

More information

Effects of Measuring Instrument and Measuring Points on Circular Coordinate Measurement Precision

Effects of Measuring Instrument and Measuring Points on Circular Coordinate Measurement Precision 2016 International Conference on Computer Engineering and Information Systems (CEIS-16) Effects of Measuring Instrument and Measuring Points on Circular Coordinate Measurement Precision Jun Wu, Li-Chang

More information

Development of innovative fringe locking strategies for vibration-resistant white light vertical scanning interferometry (VSI)

Development of innovative fringe locking strategies for vibration-resistant white light vertical scanning interferometry (VSI) Development of innovative fringe locking strategies for vibration-resistant white light vertical scanning interferometry (VSI) Liang-Chia Chen 1), Abraham Mario Tapilouw 1), Sheng-Lih Yeh 2), Shih-Tsong

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Study of High Speed Buffer Amplifier using Microwind

Study of High Speed Buffer Amplifier using Microwind Study of High Speed Buffer Amplifier using Microwind Amrita Shukla M Tech Scholar NIIST Bhopal, India Puran Gaur HOD, NIIST Bhopal India Braj Bihari Soni Asst. Prof. NIIST Bhopal India ABSTRACT This paper

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

A simple circuit to remove X-cap bleeder resistor for reducing standby power consumption

A simple circuit to remove X-cap bleeder resistor for reducing standby power consumption This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Epress, Vol.* No.*,*-* A simple circuit to remove bleeder resistor for

More information

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter

More information

Design of illumination system in ring field capsule endoscope

Design of illumination system in ring field capsule endoscope Design of illumination system in ring field capsule endoscope Wei-De Jeng 1, Mang Ou-Yang 1, Yu-Ta Chen 2 and Ying-Yi Wu 1 1 Department of electrical and control engineering, National Chiao Tung university,

More information

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional

More information

Ching-Yi Wang ( 王靜怡 )

Ching-Yi Wang ( 王靜怡 ) Department of Finance Ching-Yi Wang ( 王靜怡 ) Southern Taiwan University of Science and Technology No. 1, Nan-Tai Street, Yongkang Dist., Tainan 71005, Taiwan Office: S408-8 : 886-6-2533131 ext.5327 : 886-6-2518171

More information

An Ultrahigh Sensitive Self-Powered Current Sensor Utilizing a Piezoelectric Connected-In-Series Approach

An Ultrahigh Sensitive Self-Powered Current Sensor Utilizing a Piezoelectric Connected-In-Series Approach An Ultrahigh Sensitive Self-Powered Current Sensor Utilizing a Piezoelectric Connected-In-Series Approach Po-Chen Yeh, Tien-Kan Chung *, Chen-Huang Lai Department of Mechanical Engineering, National Chiao

More information

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography Akihiko Kurosu, Masaki Nakano, Masanori Yashiro, Masaya Yoshino, Hiroaki Tsushima, Hiroyuki Masuda, Takahito Kumazaki,

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

B.S. (2010) in Communication Engineering from Yuan Ze University, Taiwan.

B.S. (2010) in Communication Engineering from Yuan Ze University, Taiwan. Yu-Han Hung ( ), PhD Post-doctoral Researcher Department of Photonics National Cheng Kung University (NCKU), Tainan, Taiwan Tel: +886-911-172-468 Email: yhhung@mail.ncku.edu.tw Yhh19880411@gmail.com Education

More information

22-Channel Capacity of 2.5Gbit/s DWDM-PON ONU Transmitter by Direct-Modularly Side-Mode Injection Locked FPLD

22-Channel Capacity of 2.5Gbit/s DWDM-PON ONU Transmitter by Direct-Modularly Side-Mode Injection Locked FPLD 22-Channel Capacity of 2.5Gbit/s DWDM-PON ONU Transmitter by Direct-Modularly Side-Mode Injection Locked FPLD Yu-Sheng Liao a, Yung-Jui Chen b, and Gong-Ru Lin c* a Department of Photonics & Institute

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography Herve Besaucele, Palash Das, Thomas Duffey, Todd Embree, Alex Ershov, Vladimir Fleurov, Steve Grove, Paul Meleher, Richard Ness,

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements 1 Optical Metrology Optical Microscopy What is its place in IC production? What are the limitations and the hopes? The issue of Alignment

More information

Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

Virtual overlay metrology for fault detection supported with integrated metrology and machine learning Virtual overlay metrology for fault detection supported with integrated metrology and machine learning Emil Schmitt-Weaver MATLAB Expo 2016 Benelux June 28 th Slide 2 Slide 3 Outline Introduction Slide

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 22, NO. 3, AUGUST

IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 22, NO. 3, AUGUST IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 22, NO. 3, AUGUST 2009 351 Photolithography Control in Wafer Fabrication Based on Process Capability Indices With Multiple Characteristics W. L. Pearn,

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

LPCC filters realization as binary amplitude hologram in 4-f correlator: range limitation of hologram pixels representation

LPCC filters realization as binary amplitude hologram in 4-f correlator: range limitation of hologram pixels representation LPCC filters realization as binary amplitude hologram in 4-f correlator: range limitation of hologram pixels representation N.N. Evtikhiev, S.N. Starikov, R.S. Starikov, E.Yu. Zlokazov Moscow Engineering

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Line edge roughness on photo lithographic masks

Line edge roughness on photo lithographic masks Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line

More information

ABSTRACT. Keywords: Computer-aided alignment, Misalignments, Zernike polynomials, Sensitivity matrix 1. INTRODUCTION

ABSTRACT. Keywords: Computer-aided alignment, Misalignments, Zernike polynomials, Sensitivity matrix 1. INTRODUCTION Computer-Aided Alignment for High Precision Lens LI Lian, FU XinGuo, MA TianMeng, WANG Bin The institute of optical and electronics, the Chinese Academy of Science, Chengdu 6129, China ABSTRACT Computer-Aided

More information

Sensitivity evaluation of fiber optic OC-48 p-i-n transimpedance amplifier receivers using sweep-frequency modulation and intermixing diagnostics

Sensitivity evaluation of fiber optic OC-48 p-i-n transimpedance amplifier receivers using sweep-frequency modulation and intermixing diagnostics Optical Engineering 44(4), 044002 (April 2005) Sensitivity evaluation of fiber optic OC-48 p-i-n transimpedance amplifier receivers using sweep-frequency modulation and intermixing diagnostics Gong-Ru

More information

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes Masato

More information

List of Directly Owned Subsidiaries Board of Directors and Supervisors

List of Directly Owned Subsidiaries Board of Directors and Supervisors List of Directly Owned Subsidiaries Board of s and s 1. International Bank Co., Ltd (100% ownership) 2. Securities Co., Ltd (100% ownership) 3. Securities Investment Advisory Co., Ltd (92% ownership) 4.

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Wafer Backside Inspection Applications In Lithography

Wafer Backside Inspection Applications In Lithography Wafer Backside Inspection Applications In Lithography Kay Lederer, Manhias Scholze, Infineon Technologies 300, Dresden Ulrich Strohbach, Infineon 200. Dresden Andreas Wocko, Thomas Renter. Angela Schcenauer,

More information

Performance of Very High Repetition Rate ArF Lasers

Performance of Very High Repetition Rate ArF Lasers Performance of Very High Repetition Rate ArF Lasers Jean-Marc Hueber, Herve Besaucele, Palash Das, Rick Eis, Alex Ershov, Vladimir Fleurov, Dmitri Gaidarenko, Thomas Hofmann, Paul Meicher, William Partlo,

More information

None Operational Amplifier (OPA) Based: Design of Analogous Bandgap Reference Voltage

None Operational Amplifier (OPA) Based: Design of Analogous Bandgap Reference Voltage Article None Operational Amplifier (OPA) Based: Design of Analogous Bandgap Reference Voltage Hao-Ping Chan 1 and Yu-Cherng Hung 2, * 1 Department of Electronic Engineering, National Chin-Yi University

More information

HOW TO SIMULATE AND REALIZE A DISAPPEARED CITY AND CITY LIFE?

HOW TO SIMULATE AND REALIZE A DISAPPEARED CITY AND CITY LIFE? HOW TO SIMULATE AND REALIZE A DISAPPEARED CITY AND CITY LIFE? A VR cave simulation SHEN-KAI TANG, YU-TUNG LIU, YANG-CHENG FAN, YEN- LIANG WU, HUEI-YING LU, CHOR-KHENG LIM, LAN-YING HUNG AND YU-JEN CHEN

More information

ESD Protection Design with the Low-Leakage-Current Diode String for RF Circuits in BiCMOS SiGe Process

ESD Protection Design with the Low-Leakage-Current Diode String for RF Circuits in BiCMOS SiGe Process ESD Protection Design with the Low-Leakage-Current Diode String for F Circuits in BiCMOS SiGe Process Ming-Dou Ker and Woei-Lin Wu Nanoelectronics and Gigascale Systems Laboratory nstitute of Electronics,

More information

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning Semicon West 2016 Acknowledgements o Stephen Tobin o Samsung Austin Semiconductor, Machine Learning o Jason Malik o Samsung Austin Semiconductor, Metrology o Dr. Dragan Djurdjanovic o University of Texas,

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Advanced Motion Control Optimizes Laser Micro-Drilling

Advanced Motion Control Optimizes Laser Micro-Drilling Advanced Motion Control Optimizes Laser Micro-Drilling The following discussion will focus on how to implement advanced motion control technology to improve the performance of laser micro-drilling machines.

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

The Informal Nature of Systems Engineering

The Informal Nature of Systems Engineering Abstract by Gerrit Muller University of South-Eastern Norway-NISE e-mail: gaudisite@gmail.com www.gaudisite.nl The Systems Engineering (SE) discipline is an integrating discipline. SE integrates and guides

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications 1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications Doug Anberg, Mitch Eguchi, Takahiro Momobayashi Ultratech Stepper, Inc. San Jose, California Takeshi Wakabayashi,

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

COLOR LASER PRINTER IDENTIFICATION USING PHOTOGRAPHED HALFTONE IMAGES. Do-Guk Kim, Heung-Kyu Lee

COLOR LASER PRINTER IDENTIFICATION USING PHOTOGRAPHED HALFTONE IMAGES. Do-Guk Kim, Heung-Kyu Lee COLOR LASER PRINTER IDENTIFICATION USING PHOTOGRAPHED HALFTONE IMAGES Do-Guk Kim, Heung-Kyu Lee Graduate School of Information Security, KAIST Department of Computer Science, KAIST ABSTRACT Due to the

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

Process Window improvement on 45 nm technology Non Volatile Memory by CD uniformity improvement

Process Window improvement on 45 nm technology Non Volatile Memory by CD uniformity improvement Process Window improvement on 45 nm technology Non Volatile Memory by CD uniformity improvement Ute Buttgereit a, Robert Birkner a, Erez Graitzer b, Avi Cohen b, Benedetta Triulzi c, Carmelo Romeo c a

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique

S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique Chien-Hung Yeh 1, *, Ming-Ching Lin 3, Ting-Tsan Huang 2, Kuei-Chu Hsu 2 Cheng-Hao Ko 2, and Sien Chi

More information

Automatic inspection system for measurement of lens field curvature by means of computer vision

Automatic inspection system for measurement of lens field curvature by means of computer vision Indian Journal of Pure & Applied Physics Vol. 47, October 2009, pp. 708-714 Automatic inspection system for measurement of lens field curvature by means of computer vision Chern-Sheng Lin 1, Jung-Ming

More information

REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography Invited Paper REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography Mark A. McCord, Paul Petric, Upendra Ummethala, Allen Carroll, Shinichi Kojima, Luca Grella, Sameet

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

A Neural-Network Approach To Recognize Defect Spatial Pattern In Semiconductor Fabrication

A Neural-Network Approach To Recognize Defect Spatial Pattern In Semiconductor Fabrication 366 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 13, NO. 3, AUGUST 2000 A Neural-Network Approach To Recognize Defect Spatial Pattern In Semiconductor Fabrication Fei-Long Chen and Shu-Fan Liu

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information