EVALUATION OF DIFFERENT TEST TECHNIQUES TO ASSESS THE EFFECT OF ENVIRONMENTAL EXPOSURE ON THE ASSEMBLY OF MCGA PACKAGES FOR PRINTED CIRCUIT BOARDS

Size: px
Start display at page:

Download "EVALUATION OF DIFFERENT TEST TECHNIQUES TO ASSESS THE EFFECT OF ENVIRONMENTAL EXPOSURE ON THE ASSEMBLY OF MCGA PACKAGES FOR PRINTED CIRCUIT BOARDS"

Transcription

1 EVALUATION OF DIFFERENT TEST TECHNIQUES TO ASSESS THE EFFECT OF ENVIRONMENTAL EXPOSURE ON THE ASSEMBLY OF MCGA PACKAGES FOR PRINTED CIRCUIT BOARDS Gianni Corocher (1),(2), Carole Villette (1), Antonius de Rooij (1), Mark A. Baker (2) (1) ESA-ESTEC, Materials Techniology Section, P.O. Box 299, 2200 AG Noordijk ZH, The Netherlands, Tel.: +31 (0) , (2) Faculty of Engineering and Physical Sciences, University of Surrey, Guildford, Surrey,GU2 7XH, United Kingdom ABSTRACT The use of area grid array devices for space application requires the assessment of the reliability of their assembly hen exposed to environmental testing. Verification of the assembly of surface mount devices (SMDs) is done according to the ECSS-Q-ST-70-38C [1] hich requires microsectioning of the sample representative of the assembly after specific vibration and thermal cycling tests. For area array type devices the ECSS-Q-ST-70-38C requires a dye penetrant pull test in addition to microsectioning. Here a ne method based on scanning acoustic microscopy (C-SAM) is explored and compared ith serial microsectioning and the dye penetrant pull test. The three different techniques have been applied to multilayer ceramic grid area array (MCGA) type of devices. The results sho the limits of the pull test method and indicate that the C-SAM inspection can provide more reliable results and a comparatively rapid sample preparation procedure. 1. INTRODUCTION Space activities sho an increasing interest in the use of area array types of electronic devices. These devices allo an increase in the surface density of connections ith respect to traditional surface mounted devices (SMD), leading to more densely populated and more complex electronic equipment. The reliability of SMD assembly is evaluated according to the standard ECSS- Q-ST-70-38C. As detailed in [2] this standard has been created for the traditional type of SMDs i.e. chip resistor and flat packs ith leads along the periphery. The test sequence used on these types of components consists of submitting representative assembled devices on PCBs to a vibration test and 500 thermal cycles (-55/+100 C -15 minutes dell time) to ensure their suitability in sustaining the cumulative ground testing, launch and thermal stresses during in orbit mission. The samples are then microsectioned to verify that the size of the cracks in the solder joints being assessed comply ith the criteria given in [1]. ECSS-Q-ST-70-38C has a dedicated sub-clause concerning the area array type of devices here to possible test methods are considered to assess the damage induced during environmental testing. The first test method is the dye penetrant pull test hich has been developed as described in [3]. This test method consists of soaking the assembly in a dye penetrant after environmental testing. The dye penetrant is then left to dry and the device pulled from the printed circuit board (PCB). If cracks ere present before the pull test, their surface appears coloured by the dye penetrant. The extension of the crack is measured. The maximum alloed crack extension on a single joint is 25% of the original cross section of the column. The second method is microsectioning as per the traditional type of SMDs. An alternative test method based on scanning acoustic microscopy (SAM) is considered here. This method has been applied to an assembled multi-ceramic column grid array (MCGA). The to techniques described above are compared ith an appositely developed reference method based on serial microsectioning MCGA ASSEMBLIES The multilayer ceramic grid array (MCGA) is an area grid array package hich has a solder column interposer (SCI) hich interfaces ith the PCB (Fig. 1 and 2). The SCI is a thin ceramic plate onto hich columns of Pb90Sn10 are mounted, equal in number to the I/O connections of the package. The connection of the SCI to the ceramic land grid array package (CLGA) is made using a eutectic solder (Sn63Pb37). The MCGA is provided to the users ith the SCI already assembled on the CLGA. The connection of the MCGA to the printed circuit board is made via solder joints by the users. This connection is again made using the eutectic solder (Sn63Pb37) by means of different technologies: e.g. hot air reflo, vapour phase. At ESTEC, microsections taken of different assemblies of MCGA packages have shon that the cracks nucleated during thermal cycling are generally developed at the SCI end of the columns. Although possible, the formation of cracks at the solder joint of the PCB to the column is not frequent. For this reason the development of the methods described in this paper have been focused on the SCI side of the columns.

2 SCI CLGA In this case the joints beteen the solder ball and the package ere inspected using a pulse echo from the top of the package. Defects at the solder/ceramic interface ere detected using a 15MHz transducer. CLGA Fig. 1. MCGA package Pb90Sn10 columns 2. EXPERIMENTAL 2.1. Dye penetrant pull test The dye penetrant pull test has been performed folloing the procedure described in [1]. The test has been performed on the MCGA device assembly on polyimide after the environmental test. An identical sample has been submitted to the serial microsectioning test for comparison. The extension of the cracked surface on the interposer area of the sample has been measured on the optical microscopy images using Clemex image analysis softare. SCI PCB Fig. 2. Assembly of a MCGA device on a PCB C-SAM Pb90Sn10 columns C scan- Scanning Acoustic Microscopy (C-SAM) uses an ultrasonic test system equipped ith focused transducers and a high frequency range (from 10 MHz to > 1GHz) to obtain high resolution images of features located under the surface of a sample [4]. C-SAM is a pulse echo technique hich produces images by scanning a transducer over the surface of the sample. The transducer uses a spherical lens to produce a focused beam of ultrasound. The most used frequencies in C-SAM are in the range of MHz. Semmens and Kessler [5], [6] have proved that this method can be applied to flip chip solder bumps and chip scale packaging. These devices have connections similar to those of a BGA component to a PCB but on a much smaller scale. The technique developed by Semmens and Kessler consists of examining the solder joints from the top of the device. The results obtained sho that it is possible to detect voids and complete detachment/fracture of solder joint using a 100 MHz transducer. Better resolution as obtained using a 230MHz transducer but after thinning the top of the die. This as needed due to the smaller penetration depth of the high frequency transducers. Ousten et al. [7] have applied C-SAM to the inspection of BGA assemblies to detect failures in the solder joints Serial microsectioning Serial microsectioning enables the structure of a certain volume of material to be reconstructed using optical micrograph pictures of sections taken from knon depths. The method consists of taking pictures in the same location of a micrographic sample but from different section planes (exposed through mechanical polishing). Knoing the depth to hich beteen each picture corresponds, it is possible to reconstruct ho a feature (microstructure, crack, void etc.) evolves ithin the sample bulk. To do this it is necessary to have a reference point that can be easily found after each polishing step. In the case of the MCGA assembly, serial microsectioning is aimed at reconstructing and measuring the surface of the crack developed in the interposer area of the device. This is done using the column as a reference to determine the distance beteen section planes and also to align the data acquired at each step. Fig.3 shos the concept used to reconstruct the plan vie of a crack in a column using the column idth as a reference. Fig.3A shos a section of a column on a plane perpendicular to the column axis. Fig.3B shos a microsection through a column on a plane parallel to the column axis. The to pictures have been taken at the same magnification, and aligned. If the idth of the column measured in Fig 3B is projected onto Fig. 3A to possible positions of the section in Fig. 3B are identified in Fig.3A. Knoing the radius of the column it is possible to determine the distance h of the section from the centre of the column by applying Pythagoras s theorem (Eq.1). Since the starting point and direction of polishing are knon, it is then possible to identify the position of the section in Fig. 3B on the plan vie of the sample (Fig. 3A).

3 h = r (1) x a = a (2) 2 The diameter of a column ithin a device can vary beteen 880 and 930 µm. The diameter is important in the construction of the map and the value used for each map is the maximum column idth measured in the sections. The position h of each section along the column is calculated using Eq.2. Cracks present at the interposer side nucleate at the edges of the column (Fig. 4). The extension of each crack (left and right side of the column in the section) can be measured. The positions of the tip of the cracks (x a and x b ) are calculated as the distance from the midpoint of the section using Eqs. 2 and 3. The examination of a series of microsection planes of a column, by measuring the idth of the column and length of cracks in each section, allos a map shoing the plan vie of the crack surface in the column to be built up. This is accomplished using an Excel orksheet here all the measurements taken at each section of a column are processed. On average, for each column 22 sections are examined. x b = b 2 The position of the crack is then plotted on an XY spatial chart ith the centre of the column corresponding to the axis origin. A plan vie of the crack is obtained by joining the points identifying the tip of cracks A and B on different sections (Fig. 4). The area enclosed by this line, representing the portion of column not cracked, is then calculated by graphical integration. This area subtracted from the area of the column gives the extension of the crack hich can then be expressed as percentage of the column surface. The application of this method has required the development of a reliable and repeatable polishing procedure hich does not induce artefacts or damage to the sample. (3) r h A C B Figure 3. Correlation of a picture of a microsection on a plane parallel to axis of the column (B) ith the position of the section plane on the plan vie of the column (A).

4 500 x a x b a b a b Figure 4. Measurements performed for each column at each step of serial microsectioning. Example of a map constructed using the measurements performed on serial microsections. The blue circle is the perimeter of the column, the purple line encloses the non cracked portion of the column. The purple line is constructed joining the points acquired on each microsection for each side of the crack (A and B). The map is made of four curves (one per quadrant) identifiable by the different markers for each individual measurement 2.3. C-SAM The MCGA devices investigated have a sealed package. The presence of an empty cavity under the metallic lead of the package makes the C-SAM inspection impossible from the top of the device. The approach explored here is to perform the inspection from the PCB side after having ground aay the PCB and the connections masking the interface of interest. Grinding as stopped at a distance of about 0.5 mm from the interposer. The method requires that the assembly is embedded using epoxy resin for metallographic preparation. The embedding process is done under vacuum to limit the entrapment of air bubbles in beteen the columns. The sample is then ground in order to remove the PCB and the part of the columns shielding the SCI. The portion of the assembly removed by grinding is schematically shon on a section in Fig. 5. The inspection has been performed ith a Sonoscan D6000 scanning acoustic microscope using a 50MHz transducer ith aperture F# 2. The sample has been scanned setting the electronic gate to include the hole thickness of the interposer. The C-SAM images have been further analysed using Clemex image analysis softare. 3. RESULTS 3.1 Dye penetrant test versus serial microsectioning The sample submitted to the dye penetrant pull test shoed several failures at the interposer side of the columns. The crack extension measured on columns located along the periphery of the package range from 78% to 90% of the cross sectional area of the column using this dye penetrant method. The crack extensions measured on an identical assembly submitted to serial microsectioning range beteen 55% and 66%. Figure 5. The concept of the C-SAM inspection of the interposer area of the columns, vieed as a section of a device. The diagonal pattern indicates the portion of the sample to be ground before C-SAM analysis. A visual inspection of these failure surfaces shos severe localised necking (Fig. 6). This necking affects

5 the measurements of the size of the crack surface and explains the difference beteen the dye penetrant and serial microsectioning results a) Figure 6. Detail of the failure of a column of a sample submitted to dye penetrant pull test. The failure occurred at the interposer side of the column. 3.2 C-SAM versus serial microsectioning The C-SAM procedure previously described has been applied, at first, on a fe columns of an MCGA assembly. Images ere acquired at different gate positions and ith different types of colour mapping before submitting the columns to serial microsectioning. Comparison of the C-SAM images ith the maps produced by serial microsectioning has enabled the false colour map to be chosen for visualization of the C-SAM images. In these images the non-cracked portion of the column has a lo reflection intensity and is visualised in the red to orange colour range. The crack surface is an interface hich generates a much higher echo than the rest of the column and is visualised in the yello to hite colour range. The surface of the interposer being an interface ith respect to the embedding resin is also producing a large echo and is visualised in hite. Consequentially the images do not sho the perimeter of the column but define the contour of the non-cracked part of the column. The validity of this interpretation has been proven by the comparison beteen C-SAM and serial microsectioning (Fig. 7). The method has then been validated on a larger sample of columns from a different assembly. The obtained image has been analysed using the Clemex image analysis softare to measure the extension of the noncracked portion of the column. For each column, the measurement has been performed tice ith different criteria to define the boundary of the non-cracked surface of the column. b) c) Figure 7. Comparison beteen a microsection (b) used for the construction of the serial microsection map shon in (a) and the C-SAM picture of the same column (c). The column circumference is represented in the C-SAM vie by the blue circle. The light blue line locates the microsection a) in the C-SAM picture. The red lines connecting the to pictures sho that the crack tips visible in the microsection are located in the yello portion of the C-SAM image.

6 In one case the boundary has been considered to be at the transition from red to yello; in the second at the transition from yello to green (Fig. 8). From these measurements the percentage of crack for each column has been determined on the basis of the nominal diameter of the column (0.9mm). The C-SAM picture acquired on this larger sample is shon in Fig. 9. Some columns appears linked in the image. This is due to the presence of air bubbles in the resin. The columns affected by this have not been analysed. To ros of columns of this assembly have been then serial microsectioned. The comparison of the crack percentage measured on these to ros (Table 1) shos the folloing: The values measured on the C-SAM images using to different criteria to define the crack boundary differ on average by 7.3 % points. The average difference beteen the serial microsection values and the C-SAM values is 5.0 % points in the case of the red to yello transition and 3.9 % points for the yello to green transition. Figure 8. Vie of the C-SAM image of an individual column. The to black lines encloses are the to different boundaries of the non-cracked area of the column: red to yello transition for the continuous line, yello to green for the dotted line. Table 1: Comparison of the crack percentage measured on C-SAM images ith those measured by serial microsectioning. N/A 54.0 N/A N/A 48.0 N/A N/A N/A N/A N/A N/A N/A Legend: x % of crack surface measured on C-SAM pictures considered as being not cracked (black to orange colour range). y % of crack surface measured on C-SAM pictures considered considered as being not cracked (black to yello colour range). z % of crack surface measured on the serial microsectioning maps. Figure 9. C-SAM image of the interposer area of a device. The links beteen some of the columns are due to air bubbles entrapped in the embedding resin.

7 4. DISCUSSION The serial microsectioning technique described here allos the detection of cracks in the interposer area of the column. From the resulting maps, the crack extension, as percentage of the column cross section, can be calculated. This technique is considered to give the most reliable result and hence has been used as reference for comparison ith the other methods. Microsectioning requires a high number of polishing steps and is therefore time consuming. Moreover the variety of materials in the sample requires the development of a dedicated and repeatable polishing method to obtain reliable results from each section plane. The large difference beteen the crack extension measured on the sample submitted to dye penetrant test ith respect to the serial microsection is due to the extensive necking of the failure surface. There is very good agreement ith the serial microsectioning maps and C-SAM images regarding the shape of the non-cracked portion of the column. The small differences beteen the to methods in the cracks surface area values are considered to be due to the folloing: The determination of the residual area of the column from C-SAM is performed using image analysis softare by selecting the colour corresponding to the crack tip. The values in Table 1 and the image in Fig. 8 sho that the effect of a different choice of the colour (reflected signal) to define the crack tip can affect the final result. As shon in Fig. 8 the difference beteen the to cases in terms of diameter of the selected area is minimal. The difference among surface enclosed in these to lines is nevertheless very important as shon in Table 1. A difference in the initial setting of the gain of the transducer in the acquisition of the images leads to differences in the acquired images. If the gain value is too high, the reflection from the residual column is increased and the non-cracked portion of the column ill be displayed in yello. All of the image ill be shifted toard colours indicating higher reflection. On the contrary if the gain value is too lo, the central part of the column is shon in dark red or black and the apparent extension of the crack in the image decreases. 5. CONCLUSIONS The dye penetrant pull test required by [1] has been found to have limitations in accurately measure the structural damage in the columns of MCGA devices. The ne C-SAM approach has been shon to yield a reliable measure of structural damage in the columns of MCGA devices. The removal of the printed circuit board and part of the column by grinding allos the interposer area of the column to be examined ith a resolution sufficient to detect and measure the extension of cracks. C-SAM allos the measurement of the crack extension at the interposer end of the columns. The data obtained by C-SAM shos a 3.9 % average difference to that determined using the reference microsectioning method. In comparison, the dye penetrant pull test shos a much larger difference. C-SAM allos an inspection of the hole assembly in much shorter time than serial microsectioning. C-SAM and serial microsectioning can be applied as valuable tools in the study of the reliability and the failure mechanisms of a MCGA assemblies. C- SAM is the technique exhibiting the best potential as routine method for assessing the reliability of MCGA packages. Further ork is needed to develop a calibration procedure in order to improve the precision of the measurement of crack extension by C-SAM. REFERENCES 1. ECSS-Q-ST-70-38C. High-reliability soldering for surface mount and mixed technology. ECSS secretariat ESA-ESTEC requirements and standards division Noordijk The Netherlands, Dunn B.D. Workmanship standards and their application on ESA projects. Soldering & Surface Mount Technology. Vol.20 (4), 37-44, Tegehall P-E, and Dunn B.D., Assesment of the Reliability of Ball and Column Grid Array packages fo Space Appllications, ESA STM-266, ESA Paris, AA.VV. Metals Handbook Volume 17: Nondestructive evaluation and quality control. ASM International. 5. Semmens Janet E. and Kessler Larence W.. Private communication. High frequency acoustic micro imaging for process monitoring and quality control in flip chip underfill assembly. Sonoscan Inc. Elk Grove Village IL (USA). 6. Semmens Janet E. and Kessler Larence W.. Private communication. Evaluation of chip scale packaging using acousting microimaging: an overvie of applications, limitations and directions for future developments. Sonoscan Inc. Elk Grove Village IL (USA). 7.Ousten Y., Mejdi S., Fenech A., Deletage J. Y., Bechou L., Perichaud M.G., Danto Y.. The use of impendence spectroscopy, SEM and SAM imaging for the detection of failure in SMT assemblies. Microelectronic reliability 38, , 1998.

CHARACTERIZATION OF FLIP CHIP BUMP FAILURE MODES USING HIGH FREQUENCY ACOUSTIC MICRO IMAGING

CHARACTERIZATION OF FLIP CHIP BUMP FAILURE MODES USING HIGH FREQUENCY ACOUSTIC MICRO IMAGING CHARACTERIZATION OF FLIP CHIP BUMP FAILURE MODES USING HIGH FREQUENCY ACOUSTIC MICRO IMAGING Janet E. Semmens and Lawrence W. Kessler SONOSCAN, INC. 530 East Green Street Bensenville, IL 60106 U.S.A. Tel:

More information

Characterization of Flip Chip Interconnect Failure Modes Using High Frequency Acoustic Micro Imaging With Correlative Analysis

Characterization of Flip Chip Interconnect Failure Modes Using High Frequency Acoustic Micro Imaging With Correlative Analysis Characterization of Flip Chip Interconnect Failure Modes Using High Frequency Acoustic Micro Imaging With Correlative Analysis Janet E. Semmens and Lawrence W. Kessler SONOSCAN, INC. 530 East Green Street

More information

ACOUSTIC MICRO IMAGING ANALYSIS METHODS FOR 3D PACKAGES

ACOUSTIC MICRO IMAGING ANALYSIS METHODS FOR 3D PACKAGES ACOUSTIC MICRO IMAGING ANALYSIS METHODS FOR 3D PACKAGES Janet E. Semmens Sonoscan, Inc. Elk Grove Village, IL, USA Jsemmens@sonoscan.com ABSTRACT Earlier studies concerning evaluation of stacked die packages

More information

Standoff Height Measurement of Flip Chip Assemblies by Scanning Acoustic Microscopy

Standoff Height Measurement of Flip Chip Assemblies by Scanning Acoustic Microscopy Standoff Height Measurement of Flip Chip Assemblies by Scanning Acoustic Microscopy C.W. Tang, Y.C. Chan, K.C. Hung and D.P. Webb Department of Electronic Engineering City University of Hong Kong Tat Chee

More information

Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques

Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques Sheng Liu and I. Charles Ume* School of Mechanical Engineering Georgia Institute of Technology Atlanta, Georgia 3332 (44) 894-7411(P)

More information

Extending Acoustic Microscopy for Comprehensive Failure Analysis Applications

Extending Acoustic Microscopy for Comprehensive Failure Analysis Applications Extending Acoustic Microscopy for Comprehensive Failure Analysis Applications Sebastian Brand, Matthias Petzold Fraunhofer Institute for Mechanics of Materials Halle, Germany Peter Czurratis, Peter Hoffrogge

More information

BGA/CSP Re-balling Bob Doetzer Circuit Technology Inc.

BGA/CSP Re-balling Bob Doetzer Circuit Technology Inc. BGA/CSP Re-balling Bob Doetzer Circuit Technology Inc. www.circuittechnology.com The trend in the electronics interconnect industry towards Area Array Packages type packages (BGA s, CSP s, CGA s etc.)

More information

MIL-STD-883H METHOD ULTRASONIC INSPECTION OF DIE ATTACH

MIL-STD-883H METHOD ULTRASONIC INSPECTION OF DIE ATTACH * ULTRASONIC INSPECTION OF DIE ATTACH 1. PURPOSE. The purpose of this examination is to nondestructively detect unbonded regions, delaminations and/or voids in the die attach material and at interfaces

More information

Chapter 11 Testing, Assembly, and Packaging

Chapter 11 Testing, Assembly, and Packaging Chapter 11 Testing, Assembly, and Packaging Professor Paul K. Chu Testing The finished wafer is put on a holder and aligned for testing under a microscope Each chip on the wafer is inspected by a multiple-point

More information

JUNE 2015 VOL 173 NO 6 TESTING TECHNOLOGIES ACOUSTIC MICROSCOPY P.18

JUNE 2015 VOL 173 NO 6 TESTING TECHNOLOGIES ACOUSTIC MICROSCOPY P.18 JUNE 2015 VOL 173 NO 6 TESTING TECHNOLOGIES ACOUSTIC MICROSCOPY P.18 2 18 TECHNICAL SPOTLIGHT ACOUSTIC IMAGING TECHNIQUES EFFECTIVELY MAP BURIED LAYER CONTOURS Acoustic microscopy advances enable mapping

More information

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper Hiding In Plain Sight How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects A Sonix White Paper If You Can See It, You Can Solve It: Understanding Ultrasonic Inspection of Bonded

More information

Description of the Method Developed for Dye Penetrant Analysis of Cracked Solder Joints

Description of the Method Developed for Dye Penetrant Analysis of Cracked Solder Joints Description of the Method Developed for Dye Penetrant Analysis of Cracked Solder Joints Background The extension of cracks in solder joints after fatigue testing is usually evaluated using crosssectioning

More information

Acoustic microscopy for 3D-SiP failure analysis

Acoustic microscopy for 3D-SiP failure analysis Acoustic microscopy for 3D-SiP failure analysis Peter Czurratis PVA TePla Analytical Systems GmbH, Westhausen, Germany Sebastian Brand Fraunhofer Center for Applied Microstructure Diagnostics (CAM) Halle,

More information

Application Note 5026

Application Note 5026 Surface Laminar Circuit (SLC) Ball Grid Array (BGA) Eutectic Surface Mount Assembly Application Note 5026 Introduction This document outlines the design and assembly guidelines for surface laminar circuitry

More information

Application Note AN-1011

Application Note AN-1011 AN-1011 Board Mounting Application Note for 0.800mm Pitch Devices For part numbers IRF6100, IRF6100PBF, IR130CSP, IR130CSPPBF, IR140CSP, IR140CSPPBF, IR1H40CSP, IR1H40CSPPBF By Hazel Schofield and Philip

More information

CHAPTER 11: Testing, Assembly, and Packaging

CHAPTER 11: Testing, Assembly, and Packaging Chapter 11 1 CHAPTER 11: Testing, Assembly, and Packaging The previous chapters focus on the fabrication of devices in silicon or the frontend technology. Hundreds of chips can be built on a single wafer,

More information

Inspection of Flip Chip and Chip Scale Package Interconnects Using Laser Ultrasound and Interferometric Techniques

Inspection of Flip Chip and Chip Scale Package Interconnects Using Laser Ultrasound and Interferometric Techniques Inspection of Flip Chip and Chip Scale Package Interconnects Using Laser Ultrasound and Interferometric Techniques Turner Howard, Dathan Erdahl, I. Charles Ume Georgia Institute of Technology Atlanta,

More information

Reality Chess. Yellow. White

Reality Chess. Yellow. White Reality Chess Reality Chess is a game for four players (ith variations for to and three players hich ill be covered in separate sections). Although most of the primary rule set for standard chess is employed,

More information

CHAPTER TWO METALLOGRAPHY & MICROSCOPY

CHAPTER TWO METALLOGRAPHY & MICROSCOPY CHAPTER TWO METALLOGRAPHY & MICROSCOPY 1. INTRODUCTION: Materials characterisation has two main aspects: Accurately measuring the physical, mechanical and chemical properties of materials Accurately measuring

More information

A Breakthrough in Sputtering Target Inspections: Ultra-High Speed Phased Array Scanning with Volume Focusing

A Breakthrough in Sputtering Target Inspections: Ultra-High Speed Phased Array Scanning with Volume Focusing 17th World Conference on Nondestructive Testing, 25-28 Oct 2008, Shanghai, China A Breakthrough in Sputtering Target Inspections: Ultra-High Speed Phased Array Scanning with Volume Focusing Dominique Braconnier,

More information

MIL-STD-1580B REQUIREMENT 11 DETAILED REQUIREMENTS FOR CONNECTORS

MIL-STD-1580B REQUIREMENT 11 DETAILED REQUIREMENTS FOR CONNECTORS DETAILED REQUIREMENTS FOR CONNECTORS 11. General. This section describes detailed requirements for a DPA of commonly used connectors. These requirements supplement the general requirements in section 4.

More information

Selective Soldering for Interconnection Technology Used in Enterprise Communication Apparatuses

Selective Soldering for Interconnection Technology Used in Enterprise Communication Apparatuses Selective Soldering for Interconnection Technology Used in Enterprise Communication Apparatuses Mark Woolley, Wesley Brown, and Dr. Jae Choi Avaya Inc. 1300 W 120 th Avenue Westminster, CO 80234 Abstract:

More information

B. Flip-Chip Technology

B. Flip-Chip Technology B. Flip-Chip Technology B1. Level 1. Introduction to Flip-Chip techniques B1.1 Why flip-chip? In the development of packaging of electronics the aim is to lower cost, increase the packaging density, improve

More information

Bringing Answers to the Surface

Bringing Answers to the Surface 3D Bringing Answers to the Surface 1 Expanding the Boundaries of Laser Microscopy Measurements and images you can count on. Every time. LEXT OLS4100 Widely used in quality control, research, and development

More information

Advances in X-Ray Technology for Semicon Applications Keith Bryant and Thorsten Rother

Advances in X-Ray Technology for Semicon Applications Keith Bryant and Thorsten Rother Advances in X-Ray Technology for Semicon Applications Keith Bryant and Thorsten Rother X-Ray Champions, Telspec, Yxlon International Agenda The x-ray tube, the heart of the system Advances in digital detectors

More information

Flip Chip Installation using AT-GDP Rework Station

Flip Chip Installation using AT-GDP Rework Station Flip Chip Installation using AT-GDP Rework Station Introduction An increase in implementation of Flip Chips, Dies, and other micro SMD devices with hidden joints within PCB and IC assembly sectors requires

More information

Effects of spherical aberrations on micro welding of glass using ultra short laser pulses

Effects of spherical aberrations on micro welding of glass using ultra short laser pulses Available online at www.sciencedirect.com Physics Procedia 39 (2012 ) 563 568 LANE 2012 Effects of spherical aberrations on micro welding of glass using ultra short laser pulses Kristian Cvecek a,b,, Isamu

More information

USING SIGNATURE IDENTIFICATION FOR RAPID AND EFFECTIVE X-RAY INSPECTION OF BALL GRID ARRAYS

USING SIGNATURE IDENTIFICATION FOR RAPID AND EFFECTIVE X-RAY INSPECTION OF BALL GRID ARRAYS USING SIGNATURE IDENTIFICATION FOR RAPID AND EFFECTIVE X-RAY INSPECTION OF BALL GRID ARRAYS Gil Zweig Glenbrook Technologies, Inc. Randolph, New Jersey USA gzweig@glenbrooktech.com ABSTRACT Although X-ray

More information

Ultrasonic Imaging of Microscopic Defects to Help Improve Reliability of Semiconductors and Electronic Devices

Ultrasonic Imaging of Microscopic Defects to Help Improve Reliability of Semiconductors and Electronic Devices 7 Hitachi Review Vol. 65 (016), No. 7 Featured rticles Ultrasonic Imaging of Microscopic s to Help Improve Reliability of Semiconductors and Electronic Devices Scanning coustic Tomograph Kaoru Kitami Kaoru

More information

Estimation of Debonded Area in Bearing Babbitt Metal by C-Scan Method

Estimation of Debonded Area in Bearing Babbitt Metal by C-Scan Method ECNDT 2006 - Poster 163 Estimation of Debonded Area in Bearing Babbitt Metal by C-Scan Method Gye-jo JUNG, Sang-ki PARK, Korea Electric Power Research Institute, Yu-sung, Taejeon, Korea, Seok-ju CHA, GEN

More information

RECOMMENDATION ITU-R P Attenuation by atmospheric gases

RECOMMENDATION ITU-R P Attenuation by atmospheric gases Rec. ITU-R P.676-6 1 RECOMMENDATION ITU-R P.676-6 Attenuation by atmospheric gases (Question ITU-R 01/3) (1990-199-1995-1997-1999-001-005) The ITU Radiocommunication Assembly, considering a) the necessity

More information

PCB technologies and manufacturing General Presentation

PCB technologies and manufacturing General Presentation PCB technologies and manufacturing General Presentation 1 Date : December 2014 3 plants for a global offer dedicated to the European market and export Special technologies, Harsh environment PCB for space

More information

Endoscopic Inspection of Area Array Packages

Endoscopic Inspection of Area Array Packages Endoscopic Inspection of Area Array Packages Meeting Miniaturization Requirements For Defect Detection BY MARCO KAEMPFERT Area array packages such as the family of ball grid array (BGA) components plastic

More information

Nondestructive Inspection of Underfill Layers Stacked up in Ceramics-Organics- Ceramics Packages with Scanning Acoustic Tomography (SAT)

Nondestructive Inspection of Underfill Layers Stacked up in Ceramics-Organics- Ceramics Packages with Scanning Acoustic Tomography (SAT) Nondestructive Inspection of Underfill Layers Stacked up in Ceramics-Organics- Ceramics Packages with Scanning Acoustic Tomography (SAT) Justin Zeng, Francoise Sarrazin, Jie Lian, Ph.D., Zhen (Jane) Feng,

More information

Application Note. Soldering Guidelines for Module PCB Mounting Rev 13

Application Note. Soldering Guidelines for Module PCB Mounting Rev 13 Application Note Soldering Guidelines for Module PCB Mounting Rev 13 OBJECTIVE The objective of this application note is to provide ANADIGICS customers general guidelines for PCB second level interconnect

More information

MIL-STD-883E METHOD BOND STRENGTH (DESTRUCTIVE BOND PULL TEST)

MIL-STD-883E METHOD BOND STRENGTH (DESTRUCTIVE BOND PULL TEST) BOND STRENGTH (DESTRUCTIVE BOND PULL TEST) 1. PURPOSE. The purpose of this test is to measure bond strengths, evaluate bond strength distributions, or determine compliance with specified bond strength

More information

ACOUSTIC MICROSCOPY INSPECTION OF GLASS REPAIR TECHNIQUES

ACOUSTIC MICROSCOPY INSPECTION OF GLASS REPAIR TECHNIQUES ACOUSTIC MICROSCOPY INSPECTION OF GLASS REPAIR TECHNIQUES INTRODUCTION Jane Johnson Fraunhofer Institute for Nondestructive Testing University, Bldg. 37 0-66123 Saarbruecken Germany Acoustic microscopy

More information

MA4L Series. Silicon PIN Limiters RoHS Compliant. M/A-COM Products Rev. V12. Features. Chip Outline. Description. Applications

MA4L Series. Silicon PIN Limiters RoHS Compliant. M/A-COM Products Rev. V12. Features. Chip Outline. Description. Applications Features Low Insertion Loss and Noise Figure High Peak and Average Operating Power Various P1dB Compression Powers Low Flat Leakage Power Proven Reliable, Silicon Nitride Passivation Chip Outline A Square

More information

Flip-Chip PBGA Package ConstructionÑ Assembly and Board-Level Reliability

Flip-Chip PBGA Package ConstructionÑ Assembly and Board-Level Reliability Order Number: AN1850/D Rev. 0, 5/2000 Application Note Flip-Chip PBGA Package ConstructionÑ Assembly and Motorola introduced the ßip-chip plastic ball grid array (FC PBGA) packages as an alternative to,

More information

High Frequency Single & Multi-chip Modules based on LCP Substrates

High Frequency Single & Multi-chip Modules based on LCP Substrates High Frequency Single & Multi-chip Modules based on Substrates Overview Labtech Microwave has produced modules for MMIC s (microwave monolithic integrated circuits) based on (liquid crystal polymer) substrates

More information

Thermal Cycling and Fatigue

Thermal Cycling and Fatigue Thermal Cycling and Fatigue Gil Sharon Introduction The majority of electronic failures are thermo-mechanically related by thermally induced stresses and strains. The excessive difference in coefficients

More information

THROUGH-HOLE SOLDER JOINT WORKMANSHIP STANDARDS CLASS 2 TRAINING CERTIFICATION TEST (DVD-PTH-E) v.1

THROUGH-HOLE SOLDER JOINT WORKMANSHIP STANDARDS CLASS 2 TRAINING CERTIFICATION TEST (DVD-PTH-E) v.1 This test consists of thirty multiple-choice questions. All questions are from the video: Through- Hole Solder Joint Workmanship Standards (DVD-PTH-E). Use the supplied Answer Sheet and circle the letter

More information

Ginzton Laboratory, W. W. Hansen Laboratories of Physics Stanford University, Stanford, CA 94305

Ginzton Laboratory, W. W. Hansen Laboratories of Physics Stanford University, Stanford, CA 94305 ACOUSTIC MICROSCOPY WITH MIXED MODE lransducers C-H. Chou, P. Parent, and B. T. Khuri-Yakub Ginzton Laboratory, W. W. Hansen Laboratories of Physics Stanford University, Stanford, CA 94305 INTRODUCTION

More information

Calculation of Capacitances of Symmetrical Triple Coupled CPW Transmission Lines and Multilayer CPW Broadside Coupled Lines Balun

Calculation of Capacitances of Symmetrical Triple Coupled CPW Transmission Lines and Multilayer CPW Broadside Coupled Lines Balun alculation of apacitances of Symmetrical Triple oupled PW Transmission Lines and Multilayer PW Broadside oupled Lines Balun S. M. Musa, M. N. O. Sadiku, and K. T. Harris Roy G. Perry ollege of Engineering,

More information

DOES PCB PAD FINISH AFFECT VOIDING LEVELS IN LEAD-FREE ASSEMBLIES?

DOES PCB PAD FINISH AFFECT VOIDING LEVELS IN LEAD-FREE ASSEMBLIES? DOES PCB PAD FINISH AFFECT VOIDING LEVELS IN LEAD-FREE ASSEMBLIES? David Bernard Dage Precision Industries Fremont, CA d.bernard@dage-group.com Keith Bryant Dage Precision Industries Aylesbury, Buckinghamshire,

More information

To See is to Survive!

To See is to Survive! INSPECTION SYSTEMS for the 21 s t Century To See is to Survive! In todayõs highly competitive manufacturing environment, the ability to see and react to hidden production deficiencies, in order to guarantee

More information

Two major features of this text

Two major features of this text Two major features of this text Since explanatory materials are systematically made based on subject examination questions, preparation

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

TOLERANCE FORGOTTEN: IMPACTS OF TODAY S COMPONENT PACKAGING AND COPPER ROUTING ON ELECTRONIC

TOLERANCE FORGOTTEN: IMPACTS OF TODAY S COMPONENT PACKAGING AND COPPER ROUTING ON ELECTRONIC TOLERANCE FORGOTTEN: IMPACTS OF TODAY S COMPONENT PACKAGING AND COPPER ROUTING ON ELECTRONIC Presented By: Dale Lee E-mail: Dale.Lee@Plexus.Com April 2013 High Layer Counts Wide Range Of Component Package

More information

Removing Ionospheric Corruption from Low Frequency Radio Arrays

Removing Ionospheric Corruption from Low Frequency Radio Arrays Removing Ionospheric Corruption from Lo Frequency Radio Arrays Sean Ting 12/15/05 Thanks to Shep Doeleman, Colin Lonsdale, and Roger Cappallo of Haystack Observatory for their help in guiding this proect

More information

Counterfeit identification method of plastic encapsulated microcircuits using scanning acoustic microscope

Counterfeit identification method of plastic encapsulated microcircuits using scanning acoustic microscope Journal of Physics: Conference Series PAPER OPEN ACCESS Counterfeit identification method of plastic encapsulated microcircuits using scanning acoustic microscope To cite this article: Yao Qiu et al 2018

More information

Packaging Fault Isolation Using Lock-in Thermography

Packaging Fault Isolation Using Lock-in Thermography Packaging Fault Isolation Using Lock-in Thermography Edmund Wright 1, Tony DiBiase 2, Ted Lundquist 2, and Lawrence Wagner 3 1 Intersil Corporation; 2 DCG Systems, Inc.; 3 LWSN Consulting, Inc. Addressing

More information

OPTIMIZATION OF A PORTABLE MICROWAVE INTERFERENCE SCANNING SYSTEM FOR NONDESTRUCTIVE TESTING OF MULTI-LAYERED DIELECTRIC MATERIALS

OPTIMIZATION OF A PORTABLE MICROWAVE INTERFERENCE SCANNING SYSTEM FOR NONDESTRUCTIVE TESTING OF MULTI-LAYERED DIELECTRIC MATERIALS OPTIMIZATION OF A PORTABLE MICROWAVE INTERFERENCE SCANNING SYSTEM FOR NONDESTRUCTIVE TESTING OF MULTI-LAYERED DIELECTRIC MATERIALS K. F. Schmidt,*, J. R. Little Evisive, Inc. Baton Rouge, Louisiana 70808

More information

Simulation of Ultrasonic Testing of Rail Wheel Face using Phased Array and DDF technique

Simulation of Ultrasonic Testing of Rail Wheel Face using Phased Array and DDF technique Simulation of Ultrasonic Testing of Rail Wheel Face using Phased Array and DDF technique Anand Desai, Ph.D. Abstract This paper presents a method of increasing the near surface resolution of a rail wheel

More information

Application Bulletin 240

Application Bulletin 240 Application Bulletin 240 Design Consideration CUSTOM CAPABILITIES Standard PC board fabrication flexibility allows for various component orientations, mounting features, and interconnect schemes. The starting

More information

Understanding Optical Specifications

Understanding Optical Specifications Understanding Optical Specifications Optics can be found virtually everywhere, from fiber optic couplings to machine vision imaging devices to cutting-edge biometric iris identification systems. Despite

More information

Ultra-Low Voiding Halogen-Free No-Clean Lead-Free Solder Paste for Large Pads

Ultra-Low Voiding Halogen-Free No-Clean Lead-Free Solder Paste for Large Pads Ultra-Low Voiding Halogen-Free No-Clean Lead-Free Solder Paste for Large Pads Li Ma, Fen Chen, and Dr. Ning-Cheng Lee Indium Corporation Clinton, NY mma@indium.com; fchen@indium.com; nclee@indium.com Abstract

More information

DAMAGE DETECTION IN PLATE STRUCTURES USING SPARSE ULTRASONIC TRANSDUCER ARRAYS AND ACOUSTIC WAVEFIELD IMAGING

DAMAGE DETECTION IN PLATE STRUCTURES USING SPARSE ULTRASONIC TRANSDUCER ARRAYS AND ACOUSTIC WAVEFIELD IMAGING DAMAGE DETECTION IN PLATE STRUCTURES USING SPARSE ULTRASONIC TRANSDUCER ARRAYS AND ACOUSTIC WAVEFIELD IMAGING T. E. Michaels 1,,J.E.Michaels 1,B.Mi 1 and M. Ruzzene 1 School of Electrical and Computer

More information

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel:

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: Basic Functional Analysis Sample Report 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Basic Functional Analysis Sample Report Some of the information in this

More information

1272. Phase-controlled vibrational laser percussion drilling

1272. Phase-controlled vibrational laser percussion drilling 1272. Phase-controlled vibrational laser percussion drilling Chao-Ching Ho 1, Chih-Mu Chiu 2, Yuan-Jen Chang 3, Jin-Chen Hsu 4, Chia-Lung Kuo 5 National Yunlin University of Science and Technology, Douliou,

More information

Silicon PIN Limiter Diodes V 5.0

Silicon PIN Limiter Diodes V 5.0 5 Features Lower Insertion Loss and Noise Figure Higher Peak and Average Operating Power Various P1dB Compression Powers Lower Flat Leakage Power Reliable Silicon Nitride Passivation Description M/A-COM

More information

HMC-AUH232 MICROWAVE & OPTICAL DRIVER AMPLIFIERS - CHIP. GaAs HEMT MMIC MODULATOR DRIVER AMPLIFIER, DC - 43 GHz. Typical Applications.

HMC-AUH232 MICROWAVE & OPTICAL DRIVER AMPLIFIERS - CHIP. GaAs HEMT MMIC MODULATOR DRIVER AMPLIFIER, DC - 43 GHz. Typical Applications. DRIVER AMPLIFIER, DC - 3 GHz Typical Applications This is ideal for: 0 Gb/s Lithium Niobate/ Mach Zender Fiber Optic Modulators Broadband Gain Block for Test & Measurement Equipment Broadband Gain Block

More information

BGA (Ball Grid Array)

BGA (Ball Grid Array) BGA (Ball Grid Array) National Semiconductor Application Note 1126 November 2002 Table of Contents Introduction... 2 Package Overview... 3 PBGA (PLASTIC BGA) CONSTRUCTION... 3 TE-PBGA (THERMALLY ENHANCED

More information

The DODGE satellite carries two TV cameras, SATELLITE TV CAMERA DESIGN. PHOTOMETRIC and OPTICAL CONSIDERATIONS in the DODGE. F. W.

The DODGE satellite carries two TV cameras, SATELLITE TV CAMERA DESIGN. PHOTOMETRIC and OPTICAL CONSIDERATIONS in the DODGE. F. W. A discussion is presented on the photometric and optical aspects of the DODGE TV cameras. The photometric analysis is based upon black and hite plus color picture transmission. The scheme used for color

More information

APPLICATIONS FOR TELECENTRIC LIGHTING

APPLICATIONS FOR TELECENTRIC LIGHTING APPLICATIONS FOR TELECENTRIC LIGHTING Telecentric lenses used in combination with telecentric lighting provide the most accurate results for measurement of object shapes and geometries. They make attributes

More information

Comparison of X-ray Inspection Systems for BGNCCGA Quality Assurance and Crack Detection

Comparison of X-ray Inspection Systems for BGNCCGA Quality Assurance and Crack Detection Comparison of X-ray Inspection Systems for BGNCCGA Quality Assurance and Crack Detection R. Ghaffarian, D. Mih Jet Propulsion Laboratory, California Institute of Technology,Pasadena, CA Reza.Ghaffrian@,),JPL.NASA.Gov,(8

More information

Basics of Light Microscopy and Metallography

Basics of Light Microscopy and Metallography ENGR45: Introduction to Materials Spring 2012 Laboratory 8 Basics of Light Microscopy and Metallography In this exercise you will: gain familiarity with the proper use of a research-grade light microscope

More information

Ultra-thin Die Characterization for Stack-die Packaging

Ultra-thin Die Characterization for Stack-die Packaging Ultra-thin Die Characterization for Stack-die Packaging Wei Sun, W.H. Zhu, F.X. Che, C.K. Wang, Anthony Y.S. Sun and H.B. Tan United Test & Assembly Center Ltd (UTAC) Packaging Analysis & Design Center

More information

MIRA Purpose MIRA Tomographer MIRA MIRA Principle MIRA MIRA shear waves MIRA

MIRA Purpose MIRA Tomographer MIRA MIRA Principle MIRA MIRA shear waves MIRA Purpose The MIRA Tomographer is a state-of-the-art instrument for creating a three-dimensional (3-D) representation (tomogram) of internal defects that may be present in a concrete element. MIRA is based

More information

14 GHz to 32 GHz, GaAs, MMIC, Double Balanced Mixer HMC292A

14 GHz to 32 GHz, GaAs, MMIC, Double Balanced Mixer HMC292A 14 GHz to 32 GHz, GaAs, MMIC, Double Balanced Mixer FEATURES Passive: no dc bias required Conversion loss (downconverter): 9 db typical at 14 GHz to 3 GHz Single-sideband noise figure: 11 db typical at

More information

RapidScan II Application Note General Composite Scanning

RapidScan II Application Note General Composite Scanning RapidScan II Application Note General Composite Scanning RapidScan II General Composite Scanning Application Note Page 1 Applications The RapidScan system has been utilised for a wide range of inspections

More information

Advances in CO 2 -Laser Drilling of Glass Substrates

Advances in CO 2 -Laser Drilling of Glass Substrates Available online at www.sciencedirect.com Physics Procedia 39 (2012 ) 548 555 LANE 2012 Advances in CO 2 -Laser Drilling of Glass Substrates Lars Brusberg,a, Marco Queisser b, Clemens Gentsch b, Henning

More information

Detection and Assessment of Wood Decay in Glulam Beams Using a Decay Rate Approach: A Review

Detection and Assessment of Wood Decay in Glulam Beams Using a Decay Rate Approach: A Review In: Proceedings of the 18th International Nondestructive Testing and Evaluation of Wood Symposium held on Sept. 24-27, 2013, in Madison, WI. Detection and Assessment of Wood Decay in Glulam Beams Using

More information

Photonic device package design, assembly and encapsulation.

Photonic device package design, assembly and encapsulation. Photonic device package design, assembly and encapsulation. Abstract. A.Bos, E. Boschman Advanced Packaging Center. Duiven, The Netherlands Photonic devices like Optical transceivers, Solar cells, LED

More information

ISO INTERNATIONAL STANDARD. Non-destructive testing Ultrasonic thickness measurement

ISO INTERNATIONAL STANDARD. Non-destructive testing Ultrasonic thickness measurement INTERNATIONAL STANDARD ISO 16809 First edition 2012-11-15 Non-destructive testing Ultrasonic thickness measurement Essais non destructifs Mesurage de l'épaisseur par ultrasons Reference number ISO 2012

More information

SNT Package User's Guide

SNT Package User's Guide (Small outline Non-leaded Thin package) [Target Packages] SNT-4A SNT-6A SNT-6A (H) SNT-8A SNT Package User s Guide Introduction This manual describes the features, dimensions, mountability, reliability,

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

HMC561 FREQUENCY MULTIPLIER - ACTIVE - CHIP. Electrical Specifications, T A. Features. Typical Applications. General Description. Functional Diagram

HMC561 FREQUENCY MULTIPLIER - ACTIVE - CHIP. Electrical Specifications, T A. Features. Typical Applications. General Description. Functional Diagram Typical Applications The HMC51 is suitable for: Clock Generation Applications: SONET OC-19 & SDH STM- Point-to-Point & VSAT Radios Test Instrumentation Military & Space Functional Diagram Features High

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Frequency Considerations in Air-Coupled Ultrasonic Inspection.

Frequency Considerations in Air-Coupled Ultrasonic Inspection. Frequency Considerations in Air-Coupled Ultrasonic Inspection. Joe Buckley, Sonatest Plc. Milton Keynes, Bucks, MK12 5QQ, England Tel: + 44 1908 316345 Fax: + 441908 321323 joeb@sonatest-plc.com Hanspeter

More information

TDI Imaging: An Efficient AOI and AXI Tool

TDI Imaging: An Efficient AOI and AXI Tool TDI Imaging: An Efficient AOI and AXI Tool Yakov Bulayev Hamamatsu Corporation Bridgewater, New Jersey Abstract As a result of heightened requirements for quality, integrity and reliability of electronic

More information

By: Louise Brown, PhD, Advanced Engineered Materials Group, National Physical Laboratory.

By: Louise Brown, PhD, Advanced Engineered Materials Group, National Physical Laboratory. NPL The Olympus LEXT - A highly flexible tool Confocal Metrology at the NPL By: Louise Brown, PhD, Advanced Engineered Materials Group, National Physical Laboratory. www.npl.co.uk louise.brown@npl.co.uk

More information

FEATURES DESCRIPTION ABSOLUTE MAXIMUM RATINGS. T AMB = +25 C ( Unless otherwise specified )

FEATURES DESCRIPTION ABSOLUTE MAXIMUM RATINGS. T AMB = +25 C ( Unless otherwise specified ) Monolithic PIN SP5T Diode Switch FEATURES Ultra Broad Bandwidth: 50MHz to 26GHz 1.0 db Insertion Loss 30 db Isolation at 20GHz Reliable. Fully Monolithic Glass Encapsulated Construction DESCRIPTION The

More information

Assembly Instructions for SCC1XX0 series

Assembly Instructions for SCC1XX0 series Technical Note 82 Assembly Instructions for SCC1XX0 series TABLE OF CONTENTS Table of Contents...1 1 Objective...2 2 VTI's 32-lead Dual In-line Package (DIL-32)...2 3 DIL-32 Package Outline and Dimensions...2

More information

Handling and Processing Details for Ceramic LEDs Application Note

Handling and Processing Details for Ceramic LEDs Application Note Handling and Processing Details for Ceramic LEDs Application Note Abstract This application note provides information about the recommended handling and processing of ceramic LEDs from OSRAM Opto Semiconductors.

More information

RELIABILITY OF GUIDED WAVE ULTRASONIC TESTING. Dr. Mark EVANS and Dr. Thomas VOGT Guided Ultrasonics Ltd. Nottingham, UK

RELIABILITY OF GUIDED WAVE ULTRASONIC TESTING. Dr. Mark EVANS and Dr. Thomas VOGT Guided Ultrasonics Ltd. Nottingham, UK RELIABILITY OF GUIDED WAVE ULTRASONIC TESTING Dr. Mark EVANS and Dr. Thomas VOGT Guided Ultrasonics Ltd. Nottingham, UK The Guided wave testing method (GW) is increasingly being used worldwide to test

More information

Features. = +25 C, With 0/-5V Control, 50 Ohm System

Features. = +25 C, With 0/-5V Control, 50 Ohm System Typical Applications This switch is suitable DC - 0 GHz applications: Fiber Optics Microwave Radio Military Space VSAT Functional Diagram Features High Isolation: >40 db @ 0 GHz Low Insertion Loss:.1 db

More information

LASER GENERATION AND DETECTION OF SURFACE ACOUSTIC WAVES

LASER GENERATION AND DETECTION OF SURFACE ACOUSTIC WAVES LASER GENERATION AND DETECTION OF SURFACE ACOUSTIC WAVES USING GAS-COUPLED LASER ACOUSTIC DETECTION INTRODUCTION Yuqiao Yang, James N. Caron, and James B. Mehl Department of Physics and Astronomy University

More information

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538 Innovations Push Package-on-Package Into New Markets by Flynn Carson STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010. Reprinted from Semiconductor International, April 2010. By choosing

More information

Features. = +25 C, With 0/-5V Control, 50 Ohm System

Features. = +25 C, With 0/-5V Control, 50 Ohm System Typical Applications This switch is suitable 0.1-0 GHz applications: Fiber Optics Microwave Radio Military Space VSAT Functional Diagram Features High Isolation: 45 db @ 0 GHz Low Insertion Loss: 1.7 db

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

PRINTED CIRCUIT BOARD (PCB) MICRO-SECTIONING FOR QUALITY CONTROL

PRINTED CIRCUIT BOARD (PCB) MICRO-SECTIONING FOR QUALITY CONTROL SUMNotes PUBLISHED BY BUEHLER, A DIVISION OF ILLINOIS TOOL WORKS VOLUME 5, ISSUE 1 PRINTED CIRCUIT BOARD (PCB) MICRO-SECTIONING FOR QUALITY CONTROL Introduction Quality control in Printed Circuit Board

More information

SCANNING ELECTRON MICROSCOPE (SEM) INSPECTION OF SEMICONDUCTOR DICE. ESCC Basic Specification No

SCANNING ELECTRON MICROSCOPE (SEM) INSPECTION OF SEMICONDUCTOR DICE. ESCC Basic Specification No Page 1 of 24 SCANNING ELECTRON MICROSCOPE (SEM) INSPECTION OF SEMICONDUCTOR DICE ESCC Basic Specification Issue 2 February 2014 Document Custodian: European Space Agency see https://escies.org PAGE 2 LEGAL

More information

Assembly Instructions for SCA6x0 and SCA10x0 series

Assembly Instructions for SCA6x0 and SCA10x0 series Technical Note 71 Assembly Instructions for SCA6x0 and SCA10x0 series TABLE OF CONTENTS Table of Contents...1 1 Objective...2 2 VTI'S DIL-8 and DIL-12 packages...2 3 Package Outline and Dimensions...2

More information

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Picosecond Ultrasonic Microscopy of Semiconductor Nanostructures Thomas J GRIMSLEY

More information

Properties of Interdigital Transducers for Lamb-Wave Based SHM Systems

Properties of Interdigital Transducers for Lamb-Wave Based SHM Systems Properties of Interdigital Transducers for Lamb-Wave Based SHM Systems M. MANKA, M. ROSIEK, A. MARTOWICZ, T. UHL and T. STEPINSKI 2 ABSTRACT Recently, an intensive research activity has been observed concerning

More information

Advanced High-Density Interconnection Technology

Advanced High-Density Interconnection Technology Advanced High-Density Interconnection Technology Osamu Nakao 1 This report introduces Fujikura s all-polyimide IVH (interstitial Via Hole)-multi-layer circuit boards and device-embedding technology. Employing

More information

ISO INTERNATIONAL STANDARD. Non-destructive testing of welds Radiographic testing of fusionwelded

ISO INTERNATIONAL STANDARD. Non-destructive testing of welds Radiographic testing of fusionwelded INTERNATIONAL STANDARD ISO 17636 First edition 2003-09-15 Non-destructive testing of welds Radiographic testing of fusionwelded joints Contrôle non destructif des assemblages soudés Contrôle par radiographie

More information

Patronen, J.; Stenroos, Christian; Virkkunen, Mikko; Papula, Suvi; Sarikka, Teemu Inspection of Carbon Fibre Titanium Carbon Fibre Stepped-Lap Joint

Patronen, J.; Stenroos, Christian; Virkkunen, Mikko; Papula, Suvi; Sarikka, Teemu Inspection of Carbon Fibre Titanium Carbon Fibre Stepped-Lap Joint Powered by TCPDF (www.tcpdf.org) This is an electronic reprint of the original article. This reprint may differ from the original in pagination and typographic detail. Patronen, J.; Stenroos, Christian;

More information