Using At-Speed BIST to Test LVDS Serializer/Deserializer Function

Size: px
Start display at page:

Download "Using At-Speed BIST to Test LVDS Serializer/Deserializer Function"

Transcription

1 Using At-Speed BIST to Test Serializer/Deserializer Function Magnus Eckersand National Semiconductor/Sweden Fredrik Franzon Ericsson Radio Systems/Sweden Ken Filliter National Semiconductor Abstract is the acronym for Low-Voltage-Differential- Signaling and is described in both the ANSI/TIA/EIA- 644 and IEEE standards. High performance yet Low Power and EMI have made a popular choice for high-speed card-to-card serial links. A typical application is the serializer/deserializer (ser/des) function where wide TTL datastreams including clock is converted to a serial bit stream, sent over a cable, and deserialization and clock recovery performed on the receiving card. This is a powerful design technique but presents interesting challenges from a testability perspective. First, links have a much different fault spectrum than the well-established stuck-at models used for TTL logic levels. The interconnect is a transmission line model, where the signal carrying integrity of the cable, fault models and fault detection are very frequency dependent. In addition, the Ser/Des function with clock recovery requires the two devices to achieve synchronization, so efforts to test the link and ser/des functionality must meet the timing requirements of the internal logic. Ideally, any built-in-test capability for the link should be accessible via the JTAG port to take advantage of the existing industry tools and infrastructure. This paper describes a JTAG activated at-speed BIST technique used to test a high-speed ser/des chip set and cable. 1. Introduction The IEEE standard [3, 4] has proven highly effective as a tool for identifying and diagnosing manufacturing defects. These defects often result in gross opens and shorts, faults readily detected with ATPG (automated-test-pattern-generation) interconnect tests. Since IC design and board layouts are generally not optimized for high-speed boundary scan testing, such tests are typically run with a JTAG clock rate (TCK) less then 15 MHz. The detected fault spectrum is therefore considered to be DC faults. As adoption of the standard has become pervasive, users have discussed the advantages of extending the capability of the standard to include AC testing and delay faults. AC or delay testing would expand the fault coverage of IEEE and reduce the incidence of test escapes and NFF (No-Fault-Found). As described in [5] and [6] proposals have been made to modify the boundary scan registers and TAP to incorporate AC test capability into the standard. Differential signaling is an area where boundary scan is not yet well established. As described in [7] and [8], the differential pair can be treated as a single signal or each of the lines can be considered individually. Each approach has advantages and disadvantages. However, the inadequacy of existing techniques to test High- Speed Differential Signals has been recognized. This is particularly true if the differential lines are AC coupled using capacitors, which is a common practice. At the time of writing this paper, an industry-working group is evaluating various proposals for adding an AC- EXTEST instruction to IEEE At present however, there is no established standard for AC boundary scan testing. Thus our challenge was to develop a test solution that would be compliant to the IEEE standard, yet provide at-speed testing of a differential medium, and the associated PLLs and logic. From a system test standpoint, high-speed serial links provide an interesting challenge. There are three distinct areas where faults can occur, those being the

2 ICs, the connectors and the interconnect (e. g., a cable). The cable represents the transmission line environment, and in addition to actual hard defects, the cable quality may be insufficient for the high data-rates, typically in the hundreds of Mbit/s required. A marginal cable will result in intermittent failures and poor Bit-Error- Rates, (BER), the types of faults that are most difficult to detect and diagnose. Likewise, a multidrop or multipoint backplane with long stubs to the inputs on each card may effectively reduce the bandwidth of that interconnect below what is required for a safe operation [8]. Interconnect faults of the opens and shorts variety are more tangible and repeatable but in the case of high speed differential signals may be masked by the transmission line environment and the inherent fault tolerance of. The ICs are the third potential source of faults, and in the case of the popular serializer/deserializer (ser/des) function there is a considerable amount of complexity in the coding and decoding logic. In addition, embedding the clock and performing clock recovery requires critical timing and introduces other factors such as jitter. The most difficult condition to diagnose is the interaction of a marginal IC and a marginal cable resulting in nonrepeatable intermittent failures. When developing a built-in-test solution for an ser/des chip set, the goal was to consistently detect all of the faults that would impair the performance of the chipset, and make the test compatible with the pervasive IEEE JTAG test standard. As we will describe, in a differential transmission line environment, the purpose of the test is not only fault detection but also ensuring operation at system speeds with acceptable bit-error-rates. This paper will briefly describe technology, then review the various approaches to adding IEEE to differential signals, describe the BIST technique we decided on, then present and discuss the actual test results. 2. Basics is the acronym for Low-Voltage-Differential- Signaling which is described in both the ANSI/TIA/EIA-644 [1] and IEEE standards [2]. As the name implies, is a differential technology with very small signal swings. As a data communication standard, combines high data rates with low power consumption, low EMI emissions and excellent noise immunity. Hence its rapid increase in popularity as a signaling technology. As shown in Figure 1, in a basic point-to-point configuration, consists of a constant +/- 3.5mA current source that drives the differential pair. The receiver has high input impedance; therefore the 100-ohm termination resistor results in approximately 350 mv across the receiver inputs. The receiver is extremely sensitive and typically will respond to a differential of considerably less then 100 mv. 3.5mA + - Switch Box Tx Current Source - + +/- 350mV Figure 1. Basics 3. with IEEE (JTAG) Rx Differential Pair How to best handle differential signals under the umbrella of the IEEE (JTAG) standard, has been a point of discussion for some time. One approach is to consider both polarities of the differential pair as a separate signal and have a boundary Scan register for each of them. Potentially this could provide better resolution of faults but is complicated by the need to add digital test circuitry in the signal path of the very high performance analog transmitters and receivers. The added capacitance and potential source of noise is most unwelcome in the highly tuned circuitry. A second approach, more common and used in this particular device, considers the differential pair as a single channel and the boundary scan registers are behind the transmitter and receiver. Many devices include a failsafe circuit in the receivers (see Figure 2), which provides a small weak voltage across the terminals. Since the receiver is very sensitive, the failsafe circuit is intended to prevent potentially destructive oscillations in the inadvertent event of no driver current, and therefore no voltage, across the receiver inputs. By introducing a known polarity in the event of no signal, the failsafe circuit can contribute to test escapes. For example, examining Figure 1, if the pull-up transistor in the + polarity is defective, the circuit could still pass a 1 s and 0 s test done at low speed. A low (0) would be driven by the or 100Ω

3 100Ω driver, and when the polarity was reversed, the high (1) would be provided by the failsafe circuit. Of course the failsafe would not be sufficient to operate at system speed. + - Differential Pair Receiver and represent the standard opens and shorts expected in a stuck-at model. These faults are well understood and readily detected with standard ATPG patterns run at typical TCK speeds. However, consider F4, a short to Vcc or Gnd. This fault would certainly reduce the maximum potential performance of the chipset. But, due to common mode rejection and the sensitive receiver only requiring tens of mvs to switch, it is quite likely the link would still function, albeit only at a substantially reduced frequency. F 4 +/- 50mV F 1 Driver F 5 R t Receiver F 8 F 2 F 6 Figure 2. Failsafe Although was initially developed for point-topoint, a variation of suitable for multi-point applications is also available and known as Bus. Bus has higher drive current, approximately 10 ma to provide the same +/-300mV swing as standard for a heavily loaded multipoint or multidrop bus that may have an effective impedance as low as 27ohms [9, 10]. 4. Faults is an extremely robust technology, and properly terminated and using appropriate media can operate at data rates well beyond 1Gbit/s. The technology is inherently fault tolerant. As the transmission environment deteriorates, due to factors such as non- optimized terminations or the introduction of faults, the signal quality and bandwidth degrade gradually. Eventually the circuit will become completely inoperable, however this is only in the event of the most severe interconnect problems. For this reason the preferred test solution is performed at-speed and with sufficient data payload to ensure mission mode operation. The fault spectrum for differential signaling, such as used in, is considerably different than for singleended technologies. Consider the faults shown in Figure 3. Faults F1, F2 & F3 are on the TTL side of the device F 3 TTL Driver F 7 R t F 9 Figure 3. Faults Receiver This type of fault would likely be missed by standard ATPG patterns run at TCK speeds. Another example is F9, which can be an out-of-tolerance, incorrect or missing resistor. Optimum performance is obtained with the correct termination resistor, while the wrong value will lead to either reduced noise margins (too low a resistor) or reduced bandwidth (too high a resistor). Once again this type of fault could pass typical ATPG patterns run at low speeds. Even F7, an open circuit on a single line, might escape detection depending on the transmission line characteristics of the cable, and the Tck frequency at which the test was conducted. 5. Serializer/Deserializer (Ser/Des) Function A powerful application of is the ser/des function, where parallel TTL data-streams are serialized and converted to for card-to-card transmission, then deserialized and converted back to TTL. To minimize clock skew, in some cases the clock is also embedded in the serial data and then recovered at the TTL

4 receiver. The chipset discussed in this paper is the SCAN921023/ from National Semiconductor [11], which is a 10 to 1 ser/des with embedded clock. When providing testability for the chipset, standard IEEE was included on the TTL pins, and the differential pair was treated as a single bit and included in the boundary scan register. However as we have discussed, standard ATPG patterns generated for TTL networks and run at TCK speeds, will not detect many of the faults likely to impair the performance of the link. To ensure operation at system speed, it was determined that additional testing needed to be performed at system clock frequencies, and for sufficient duration, to verify the transmission media and the respective encoding and decoding circuits. TEST_COMPLETE and a PASS/FAIL bit. After completion of the test these bits are then shifted out through the JTAG chain. This approach provides a comprehensive test of the entire chipset, since the test verifies the sync generation, data serialization and embedding of the clock in the transmitter, the integrity of the interconnect, and also the PLL, decode logic and clock recovery in the receiver. 6. Evaluation of BIST To verify the operation of the BIST circuit and compare its results to standard open/shorts tests, an evaluation board was built with the ability to introduce faults. Common faults were considered, those being an open at the connector/cable, short at the connector/cable and missing termination resistor. (See Figure 3, F4, F7, F9.) Missing termination resistor also represents the incorrect (and >>larger value) resistor case, as resistors significantly larger then the 27 ohms recommended produce similar results to the missing resistor condition. The transmission media used was approximately 3 feet of category 5 cable. The methodology was very straightforward. The circuit was tested at speed and verified as good. Then a fault was introduced and tests were run to establish if the fault could be detected by either static interconnect tests or at-speed BIST. First interconnect tests were run using patterns of ones and zeros and then the atspeed BIST was exercised. 7. Discussion of Results Figure 4. BIST test setup Therefore the following BIST scheme was decided upon (see Figure 4 above) a RUNBIST command is simultaneously executed in both the transmitter and receiver, where either of the transmitter or receiver could be test equipment containing a golden unit, or another board. A RUNBIST initiates the synchronization sequence followed by pseudo-random pattern generation (PRPG) in both devices. After sync is established, the pseudo-random patterns are serialized along with the system clock, transmitted over the link, and then deserialized at the receiver and compared to the expected result. A standard 10-bit PRPG was selected [12]. Patterns are generated for 2k clock cycles and then repeated until the test is complete. As a compromise between test duration and suitable Bit- Error-Rate, enough bits are transmitted such that a passing test guarantees a BER of This results in a test time of approximately 33 ms at 20 MHz clock rate. Two bits are used to monitor the test, Table 1 provides a summary of the faults evaluated and the results of both the standard interconnect tests and the at-speed BIST. As would be expected, the inter-connect tests detected the gross failures such as no cable and reversed polarity on the cable. In addition, some conditions of open and short circuits were detected. However, faults associated with the termination resistor passed, such as wrong or missing resistor. In addition certain open and short conditions escaped detection, such as open on the positive terminal of the driver cable and short to Ground in the positive channel in the cable. Note that the opens/shorts testing was not exhaustive or quantitative and hence should not be generalized. Testing was done to the extent of proving that certain conditions would consistently Table 1. Summary of Fault Conditions & Results generate a pass or fail. At the time of writing this report there were too many variables to attempt to accurately

5 Fault IEEE Opens/Shorts At-Speed MHz At-Speed 45MHz No Connector Polarity Reversed Connector Open + Terminal Not Open Terminal Short + Terminal Not Short Terminal Figure 5. No-fault 45MHz No Termination Resistor Not Not quantify what precise conditions were necessary to establish a pass or fail. As we had hoped, the at-speed BIST detected the gross interconnect faults as well as more of the resistor faults and opens/shorts which had escaped detection with simple interconnect patterns. However, the atspeed BIST is a functional test, and will in some cases pass, even with defects such as incorrect termination resistor. The test verifies successful transmission of a data payload with zero errors, but does not guarantee function at higher clock speeds. Figures 5, 6 and 7 are scope plots of three test conditions. Figure 5 represents the no-fault set-up. The upper signal is the 45 MHz clock and the lower signal is the data-stream. As expected, the signal swing is several hundred mv and the data exhibits clear socalled eye patterns, indicating a properly terminated signal with low noise and jitter. In Figure 6, the termination resistor has been removed. (See Figure 3, F9.) Without any load, the signal swing is the full rail of the drivers, approximately 2 volts. The correct signal is still being transmitted, however the large swing is causing distortion to the eye patterns. Nevertheless, at a 30 MHz clock rate the chipset is passing BIST with no errors. Above this frequency the test fails, significantly below the guaranteed (with proper termination) 66 MHz max clock frequency specified for the chipset. Figure 6. Missing Rt@30MHz Figure 7 is the same set-up but with a clock frequency of 45MHz. Here the signal distortion is too great and BIST is failing.

6 [2] IEEE Computer Society, IEEE Standard for Low- Voltage Differential Signals () for Scalable Coherent Interface (SCI), IEEE Standard , IEEE, July 1996 [3] IEEE Standard a-1993, Standard Test Access Port and Boundary-Scan Architecture, IEEE Standards Board, New York, October [4] Supplement to IEEE Std , IEEE Standard Test Access Port and Boundary-Scan Architecture, IEEE Standards Board, New York, March [5] K. Lofstrom, Early Capture for Boundary Scan Timing Measurements, Proc. Int Test Conf., 1996, pp [6] B. Nadeau-Dostie, J. Cote, H. Hulvershorn, S. Pateras, An Embedded Technique for At-Speed Interconnect Testing, ITC International Test Conference, paper 17.1 IEEE, Figure 7. Missing 8. Summary and Conclusions Differential signaling technologies such as present unique challenges to automated boundary scan testing. Transmission line effects and failsafe circuits can combine to defeat interconnect tests generated using stuck-at models. IEEE type interconnect tests will detect gross faults and some percentage of shorts and opens on a single line of the differential pair. Interconnect tests will not detect missing or incorrect resistor faults. At-Speed BIST combined with Bit-Error-Rate testing will detect most additional faults which escaped detection during interconnect tests. Interconnect tests alone are insufficient in detecting all common manufacturing defects or predicting performance at system speed. Interconnect tests combined with an at-speed BIST test is an effective way to detect manufacturing defects and ensure error free transmission at system speed. Moreover, we have shown that supported test can be expanded to cover interconnect between two boards. This can be seen as a first step towards System level testing, while still adhering to rules and with this consequently be able to rely on support from ATPG tools and vendors. 9. References: [1] ANSI/TIA/EIA Telecommunications Industry Association, "Electrical Characteristics of Low Voltage Differential Signaling (), March 1996 [7] J. Andrews, IEEE Applied to Mixed TTL-ECL and Differential Logic, ITC International Test Conference, 1992, pgs [8] J. Andrews, Integration of IEEE with Mixed ECL, TTL, and Differential Logic Signals, IEEE ETC93 European Test Conference, Rotterdam, The Netherlands, April 1993, pgs [9] J.Goldie, J. Chen, A Baker s Dozen of High-Speed Differential Backplane Design Tips, DesignCon 2000, USA, January, [10] Owners Manual, 2 nd Edition National Semiconductor, [11] SCAN1023/1224 Ser/des datasheet National Semiconductor t [12] William J. McFarland, Kent H. Springer and Chu-Sun Yen 1-Gword/s Pseudorandom Word Generator, IEEE Journal of Solid-State Circuits, Vol 24, No. 3, June Biographies: Magnus Eckersand received a BS in telecommunications from Karlskrona, Sweden in After graduation he worked at Svenska Radio AB (now Ericsson Radio AB), and in 1980 joined Fairchild Semiconductor, which was acquired by

7 National Semiconductor in His current position is Principal Field Application Engineer based in Stockholm. Fredrik Franzon graduated with a BSEE from the University of Gavle, Sweden. Since 1995 he has been employed at Ericsson Radio Systems. His current assignment is Test Development Engineer working with DFT and HW/SW design of PBA test equipment for manufacturing test. Ken Filliter received a BSEE from the University of Western Ontario, Canada in 1980, and an MBA from the University of Southern Maine, USA, in After graduation he worked for Schlumberger, and in 1986 joined Fairchild Semiconductor, which later was acquired by National Semiconductor. He is currently the Product Line Director for SCAN products.

PHY Layout APPLICATION REPORT: SLLA020. Ron Raybarman Burke S. Henehan 1394 Applications Group

PHY Layout APPLICATION REPORT: SLLA020. Ron Raybarman Burke S. Henehan 1394 Applications Group PHY Layout APPLICATION REPORT: SLLA020 Ron Raybarman Burke S. Henehan 1394 Applications Group Mixed Signal and Logic Products Bus Solutions November 1997 IMPORTANT NOTICE Texas Instruments (TI) reserves

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

TOP VIEW. Maxim Integrated Products 1

TOP VIEW. Maxim Integrated Products 1 19-2213; Rev 0; 10/01 Low-Jitter, Low-Noise LVDS General Description The is a low-voltage differential signaling (LVDS) repeater, which accepts a single LVDS input and duplicates the signal at a single

More information

3 Definitions, symbols, abbreviations, and conventions

3 Definitions, symbols, abbreviations, and conventions T10/02-358r2 1 Scope 2 Normative references 3 Definitions, symbols, abbreviations, and conventions 4 General 4.1 General overview 4.2 Cables, connectors, signals, transceivers 4.3 Physical architecture

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

TOP VIEW MAX9111 MAX9111

TOP VIEW MAX9111 MAX9111 19-1815; Rev 1; 3/09 EVALUATION KIT AVAILABLE Low-Jitter, 10-Port LVDS Repeater General Description The low-jitter, 10-port, low-voltage differential signaling (LVDS) repeater is designed for applications

More information

LVDS provides higher bit rates, lower power, and improved noise performance. Differential Receiver Supports +/- 1 VCOMMON MODE

LVDS provides higher bit rates, lower power, and improved noise performance. Differential Receiver Supports +/- 1 VCOMMON MODE Stephen Kempainen, National Semiconductor Low-Voltage Differential Signaling (), Part 1 provides higher bit rates, lower power, and improved noise performance. Buses and Backplanes Current Steering Driver

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

Maximum data rate: 50 MBaud Data rate range: ±15% Lock-in time: 1 bit

Maximum data rate: 50 MBaud Data rate range: ±15% Lock-in time: 1 bit MONOLITHIC MANCHESTER ENCODER/DECODER (SERIES 3D7503) FEATURES 3D7503 data 3 delay devices, inc. PACKAGES All-silicon, low-power CMOS technology CIN 1 14 Encoder and decoder function independently Encoder

More information

Design Considerations for High-Speed RS-485 Data Links

Design Considerations for High-Speed RS-485 Data Links Design Considerations for High-Speed RS-485 Data Links Introduction The trend in high-speed data networks continues to push for higher data rates over longer transmission distances, and under ever-harsher

More information

AC-JTAG: Empowering JTAG beyond Testing DC Nets

AC-JTAG: Empowering JTAG beyond Testing DC Nets AC-JTAG: Empowering JTAG beyond Testing C Nets Sung S.Chung and Sang H. Baeg Cisco Systems, Inc. 7 W. Tasman rive San Jose, CA 9534 ABSTRACT This paper presents the new technology that extends today s

More information

Application Note 5044

Application Note 5044 HBCU-5710R 1000BASE-T Small Form Pluggable Low Voltage (3.3V) Electrical Transceiver over Category 5 Unshielded Twisted Pair Cable Characterization Report Application Note 5044 Summary The Physical Medium

More information

Dynamic Threshold for Advanced CMOS Logic

Dynamic Threshold for Advanced CMOS Logic AN-680 Fairchild Semiconductor Application Note February 1990 Revised June 2001 Dynamic Threshold for Advanced CMOS Logic Introduction Most users of digital logic are quite familiar with the threshold

More information

Summary of Well Known Interface Standards

Summary of Well Known Interface Standards Summary of Well Known Interface Standards Forward Designing an interface between systems is not a simple or straight-forward task. s that must be taken into account include: data rate, data format, cable

More information

800Mbps LVDS/LVPECL-to-LVDS 2 x 2 Crosspoint Switch

800Mbps LVDS/LVPECL-to-LVDS 2 x 2 Crosspoint Switch 19-2003; Rev 0; 4/01 General Description The 2 x 2 crosspoint switch is designed for applications requiring high speed, low power, and lownoise signal distribution. This device includes two LVDS/LVPECL

More information

PART TOP VIEW V EE 1 V CC 1 CONTROL LOGIC

PART TOP VIEW V EE 1 V CC 1 CONTROL LOGIC 19-1331; Rev 1; 6/98 EVALUATION KIT AVAILABLE Upstream CATV Driver Amplifier General Description The MAX3532 is a programmable power amplifier for use in upstream cable applications. The device outputs

More information

PHYTER 100 Base-TX Reference Clock Jitter Tolerance

PHYTER 100 Base-TX Reference Clock Jitter Tolerance PHYTER 100 Base-TX Reference Clock Jitter Tolerance 1.0 Introduction The use of a reference clock that is less stable than those directly driven from an oscillator may be required for some applications.

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

IEEE Std Implementation for a XAUI-to-Serial 10-Gbps Transceiver

IEEE Std Implementation for a XAUI-to-Serial 10-Gbps Transceiver IEEE Std 1149.6 Implementation for a XAUI-to-Serial 10-Gbps Transceiver Saghir A Shaikh Intel Corporation, San Diego, CA Abstract The design, implementation and verification of IEEE Std 1149.6 IP for a

More information

SV3C CPTX MIPI C-PHY Generator. Data Sheet

SV3C CPTX MIPI C-PHY Generator. Data Sheet SV3C CPTX MIPI C-PHY Generator Data Sheet Table of Contents Table of Contents Table of Contents... 1 List of Figures... 2 List of Tables... 2 Introduction... 3 Overview... 3 Key Benefits... 3 Applications...

More information

RS-232 Electrical Specifications and a Typical Connection

RS-232 Electrical Specifications and a Typical Connection Maxim > Design Support > Technical Documents > Tutorials > Interface Circuits > APP 723 Keywords: RS-232, rs232, RS-422, rs422, RS-485, rs485, RS-232 port powered, RS-232 to RS-485 conversion, daisy chain,

More information

The data rates of today s highspeed

The data rates of today s highspeed HIGH PERFORMANCE Measure specific parameters of an IEEE 1394 interface with Time Domain Reflectometry. Michael J. Resso, Hewlett-Packard and Michael Lee, Zayante Evaluating Signal Integrity of IEEE 1394

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

Dual Protocol Transceivers Ease the Design of Industrial Interfaces

Dual Protocol Transceivers Ease the Design of Industrial Interfaces Dual Protocol Transceivers Ease the Design of Industrial Interfaces Introduction The trend in industrial PC designs towards smaller form factors and more communication versatility is driving the development

More information

DS90C032 LVDS Quad CMOS Differential Line Receiver

DS90C032 LVDS Quad CMOS Differential Line Receiver DS90C032 LVDS Quad CMOS Differential Line Receiver General Description TheDS90C032 is a quad CMOS differential line receiver designed for applications requiring ultra low power dissipation and high data

More information

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 APPLICATION REPORT: SLMA003A Boyd Barrie Bus Solutions Mixed Signals DSP Solutions September 1998 IMPORTANT NOTICE Texas Instruments

More information

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005 06-011r0 Towards a SAS-2 Physical Layer Specification Kevin Witt 11/30/2005 Physical Layer Working Group Goal Draft a Specification which will: 1. Meet the System Designers application requirements, 2.

More information

UT54LVDS032 Quad Receiver Advanced Data Sheet

UT54LVDS032 Quad Receiver Advanced Data Sheet Standard Products UT54LVDS032 Quad Receiver Advanced Data Sheet December 22,1999 FEATURES >155.5 Mbps (77.7 MHz) switching rates +340mV differential signaling 5 V power supply Ultra low power CMOS technology

More information

FCOPPER-SFP BASE-TX Copper SFP Transceiver

FCOPPER-SFP BASE-TX Copper SFP Transceiver 100BASE-TX Copper SFP Transceiver March 27, 2012 Product Overview The electrical Small Form Factor Pluggable (SFP) transceiver module is specifically designed for converting 100BASE-FX NRZI port interface

More information

AFBR-59F2Z Data Sheet Description Features Applications Transmitter Receiver Package

AFBR-59F2Z Data Sheet Description Features Applications Transmitter Receiver Package AFBR-59F2Z 2MBd Compact 6nm Transceiver for Data communication over Polymer Optical Fiber (POF) cables with a bare fiber locking system Data Sheet Description The Avago Technologies AFBR-59F2Z transceiver

More information

SFP- GE- RJ45- AO. 1.25Gbps SFP Copper Transceiver

SFP- GE- RJ45- AO. 1.25Gbps SFP Copper Transceiver SFP- GE- RJ45- AO ZTE 1000BASE- TX SFP COPPER 100M REACH RJ- 45 SFP- GE- RJ45- AO 1.25Gbps SFP Copper Transceiver Features Up to 1.25Gb/s bi- directional data links Hot- pluggable SFP footprint Extended

More information

DEMO CIRCUIT 1004 ADC DRIVER AND 7X7MM HIGH-PERFORMANCE ADC QUICK START GUIDE ADC Driver and 7x7mm High-Performance ADC DESCRIPTION

DEMO CIRCUIT 1004 ADC DRIVER AND 7X7MM HIGH-PERFORMANCE ADC QUICK START GUIDE ADC Driver and 7x7mm High-Performance ADC DESCRIPTION DEMO CIRCUIT 1004 QUICK START GUIDE ADC Driver and 7x7mm High-Performance ADC DESCRIPTION Demonstration circuit 1004 is a reference design featuring Linear Technology Corporation s Analog- Digital Converter

More information

APIX Video Interface configuration

APIX Video Interface configuration AN 100 Automotive Usage APIX Video Interface configuration Order ID: AN_INAP_100 September 2008 Revision 1.3 Abstract APIX (Automotive PIXel Link) is a high speed serial link for transferring Video/Audio

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

1000BASE-T Copper SFP Transceiver

1000BASE-T Copper SFP Transceiver PRODUCT FEATURES Up to 1.25 Gb/s bi-directional data links Hot-pluggable SFP footprint Low power dissipation(1.05w typical) Compact RJ-45 assembly Fully metal enclosure, for lower EMI RoHS compliant and

More information

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Overview When developing and debugging I 2 C based hardware and software, it is extremely helpful

More information

7. Introduction to mixed-signal testing using the IEEE P standard

7. Introduction to mixed-signal testing using the IEEE P standard 7. Introduction to mixed-signal testing using the IEEE P1149.4 standard It was already mentioned in previous chapters that the IEEE 1149.1 standard (BST) was developed with the specific purpose of addressing

More information

Serial Communications RS232, RS485, RS422

Serial Communications RS232, RS485, RS422 Technical Brief AN236 Technical Brief AN236Rev A Serial Communications RS232, RS485, RS422 By John Sonnenberg S u m m a r y Electronic communications is all about interlinking circuits (processors or other

More information

Validation & Analysis of Complex Serial Bus Link Models

Validation & Analysis of Complex Serial Bus Link Models Validation & Analysis of Complex Serial Bus Link Models Version 1.0 John Pickerd, Tektronix, Inc John.J.Pickerd@Tek.com 503-627-5122 Kan Tan, Tektronix, Inc Kan.Tan@Tektronix.com 503-627-2049 Abstract

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

Implementation of an experimental IEEE mixed signal test chip

Implementation of an experimental IEEE mixed signal test chip Implementation of an experimental IEEE 1149.4 mixed signal test chip Uroš Kač 1, Franc Novak 1, Florence Azaïs 2, Pascal Nouet 2, Michel Renovell 2 1 Jozef Stefan Institute, Ljubljana, Slovenia 2 LIRMM,

More information

ROM/UDF CPU I/O I/O I/O RAM

ROM/UDF CPU I/O I/O I/O RAM DATA BUSSES INTRODUCTION The avionics systems on aircraft frequently contain general purpose computer components which perform certain processing functions, then relay this information to other systems.

More information

LVTTL/CMOS DATA INPUT 100Ω SHIELDED TWISTED CABLE OR MICROSTRIP PC BOARD TRACES. Maxim Integrated Products 1

LVTTL/CMOS DATA INPUT 100Ω SHIELDED TWISTED CABLE OR MICROSTRIP PC BOARD TRACES. Maxim Integrated Products 1 19-1927; Rev ; 2/1 Quad LVDS Line Driver with General Description The quad low-voltage differential signaling (LVDS) differential line driver is ideal for applications requiring high data rates, low power,

More information

125 Series FTS375 Disciplined Reference and Synchronous Clock Generator

125 Series FTS375 Disciplined Reference and Synchronous Clock Generator Available at Digi-Key www.digikey.com 125 Series FTS375 Disciplined Reference and Synchronous Clock Generator 2111 Comprehensive Drive Aurora, Illinois 60505 Phone: 630-851- 4722 Fax: 630-851- 5040 www.conwin.com

More information

LVTTL/LVCMOS DATA INPUT 100Ω SHIELDED TWISTED CABLE OR MICROSTRIP PC BOARD TRACES. Maxim Integrated Products 1

LVTTL/LVCMOS DATA INPUT 100Ω SHIELDED TWISTED CABLE OR MICROSTRIP PC BOARD TRACES. Maxim Integrated Products 1 19-1991; Rev ; 4/1 EVALUATION KIT AVAILABLE General Description The quad low-voltage differential signaling (LVDS) line driver is ideal for applications requiring high data rates, low power, and low noise.

More information

SFP Cooper 1000Base-T 100M SL-SFP-3T-XX

SFP Cooper 1000Base-T 100M SL-SFP-3T-XX SFP Cooper 1000Base-T 100M SL-SFP-3T-XX Overview Sourcelight SL-SFP-3T-XX Copper Small Form Pluggable (SFP) transceiver is high performance, cost effective module compliant with the Gigabit Ethernet and

More information

SimpliPHY Transformerless Ethernet Designs

SimpliPHY Transformerless Ethernet Designs ENT-AN0114 Application Note SimpliPHY Transformerless Ethernet Designs June 2018 Contents 1 Revision History... 1 1.1 Revision 2.0... 1 1.2 Revision 1.2... 1 1.3 Revision 1.1... 1 1.4 Revision 1.0... 1

More information

Supply Voltage Supervisor TL77xx Series. Author: Eilhard Haseloff

Supply Voltage Supervisor TL77xx Series. Author: Eilhard Haseloff Supply Voltage Supervisor TL77xx Series Author: Eilhard Haseloff Literature Number: SLVAE04 March 1997 i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to

More information

407-BBOS-A 407-BBOS-A. Features. Up to 1.25Gb/s bi-directional data links. Hot-pluggable SFP footprint

407-BBOS-A 407-BBOS-A. Features. Up to 1.25Gb/s bi-directional data links. Hot-pluggable SFP footprint 1000BASE, SFP-T Transceiver Features Up to 1.25Gb/s bi-directional data links Hot-pluggable SFP footprint Commercial case temperature range (0 C to +70 C ) Fully metallic enclosure for low EMI Low power

More information

LVPECL, PECL, ECL Logic and Termination

LVPECL, PECL, ECL Logic and Termination A B S T R A C T This application note will highlight characteristics of Pletronics Low Voltage Positive Emitter Coupled Logic (LVPEC quency control products and provide guidance for proper termination.

More information

TS-SF-T BASE-T Copper SFP Transceiver

TS-SF-T BASE-T Copper SFP Transceiver PRODUCT FEATURES Up to 1.25 Gb/s bi-directional data links Hot-pluggable SFP footprint Low power dissipation(1.05w typical) Compact RJ-45 assembly Fully metal enclosure, for lower EMI RoHS compliant and

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

DS90C032B LVDS Quad CMOS Differential Line Receiver

DS90C032B LVDS Quad CMOS Differential Line Receiver LVDS Quad CMOS Differential Line Receiver General Description TheDS90C032B is a quad CMOS differential line receiver designed for applications requiring ultra low power dissipation and high data rates.

More information

Powering Automotive Cockpit Electronics

Powering Automotive Cockpit Electronics White Paper Powering Automotive Cockpit Electronics Introduction The growth of automotive cockpit electronics has exploded over the past decade. Previously, self-contained systems such as steering, braking,

More information

1000BASE-T Copper Transceiver Small Form Pluggable (SFP), 3.3V 1.25Gbps Gigabit Ethernet. Features

1000BASE-T Copper Transceiver Small Form Pluggable (SFP), 3.3V 1.25Gbps Gigabit Ethernet. Features Features Hot-pluggable SFP Footprint Fully Metallic Enclosure for Low EMI Low Power Dissipation Compact RJ-45 Connector Assembly Detailed Product Information in EEPROM +3.3V Single Power Supply Access

More information

12/31/11 Analog to Digital Converter Noise Testing Final Report Page 1 of 10

12/31/11 Analog to Digital Converter Noise Testing Final Report Page 1 of 10 12/31/11 Analog to Digital Converter Noise Testing Final Report Page 1 of 10 Introduction: My work this semester has involved testing the analog-to-digital converters on the existing Ko Brain board, used

More information

SP483E. Enhanced Low EMI Half-Duplex RS-485 Transceiver

SP483E. Enhanced Low EMI Half-Duplex RS-485 Transceiver SP483E Enhanced Low EMI Half-Duplex RS-485 Transceiver +5V Only Low Power BiCMOS Driver / Receiver Enable for Multi-Drop Configurations Enhanced ESD Specifications: +/-15kV Human Body Model +/-15kV IEC61000-4-2

More information

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!!

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!! KANDOU S INTERFACES FOR HIGH SPEED SERIAL LINKS WHITE PAPER VERSION 1.9 THURSDAY, MAY 17, 2013 " Summary has developed an important new approach to serial link design that increases the bit rate for a

More information

Operational Description

Operational Description Operational Description Wallterminal WT2000 ISO Tagit The Wallterminal WT2000 consists of the two components control unit and reader unit. The control unit is usually mounted in a save area inside the

More information

PART BUS LVDS RI+ OUT+ RI- PCB OR TWISTED PAIR EN PWRDN

PART BUS LVDS RI+ OUT+ RI- PCB OR TWISTED PAIR EN PWRDN 19-2130; Rev 2; 11/10 EVALUATION KIT AVAILABLE 10-Bit Bus LVDS Deserializers General Description The deserializers transform a highspeed serial bus low-voltage differential signaling (BLVDS) data stream

More information

Successful SATA 6 Gb/s Equipment Design and Development By Chris Cicchetti, Finisar 5/14/2009

Successful SATA 6 Gb/s Equipment Design and Development By Chris Cicchetti, Finisar 5/14/2009 Successful SATA 6 Gb/s Equipment Design and Development By Chris Cicchetti, Finisar 5/14/2009 Abstract: The new SATA Revision 3.0 enables 6 Gb/s link speeds between storage units, disk drives, optical

More information

FDDI on Copper with AMD PHY Components

FDDI on Copper with AMD PHY Components Advanced Micro Devices FDDI on Copper with AMD PHY Components by Eugen Gershon Publication # Rev. Amendment Issue Date 15923 A /0 6/91 1991 Advanced Micro Devices, Inc. by Eugen Gershon INTRODUCTION This

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

LSI and Circuit Technologies of the SX-9

LSI and Circuit Technologies of the SX-9 TANAHASHI Toshio, TSUCHIDA Junichi, MATSUZAWA Hajime NIWA Kenji, SATOH Tatsuo, KATAGIRI Masaru Abstract This paper outlines the LSI and circuit technologies of the SX-9 as well as their inspection technologies.

More information

1000BASE-T SFP Copper Transceiver Hot Pluggable, Cat-5 UTP Cable, 100m

1000BASE-T SFP Copper Transceiver Hot Pluggable, Cat-5 UTP Cable, 100m Mini-GBIC Module INEO-MD-MSFP-TE 1000BASE-T SFP Copper Transceiver Hot Pluggable, Cat-5 UTP Cable, 100m tactio TM s INEO-MD-MSFP-TE 1000BASE-T copper SFP transceiver is high performance, cost effective

More information

Subminiature, Low power DACs Address High Channel Density Transmitter Systems

Subminiature, Low power DACs Address High Channel Density Transmitter Systems Subminiature, Low power DACs Address High Channel Density Transmitter Systems By: Analog Devices, Inc. (ADI) Daniel E. Fague, Applications Engineering Manager, High Speed Digital to Analog Converters Group

More information

Data Sheet. Description. Features. Transmitter. Applications. Receiver. Package

Data Sheet. Description. Features. Transmitter. Applications. Receiver. Package AFBR-59F1Z 125MBd Compact 650 nm Transceiver for Data Communication over Polymer Optical Fiber (POF) cables with a bare fiber locking system Data Sheet Description The Avago Technologies AFBR-59F1Z transceiver

More information

Proper Termination of Digital Incremental Encoder Signals

Proper Termination of Digital Incremental Encoder Signals TECHNICAL NOTES: CABLING & CONNECTIVITY Proper Termination of Digital Incremental Encoder Signals Introduction All MicroE digital encoders have quadrature outputs that are compatible with 422 line receivers.

More information

High Speed Digital Design & Verification Seminar. Measurement fundamentals

High Speed Digital Design & Verification Seminar. Measurement fundamentals High Speed Digital Design & Verification Seminar Measurement fundamentals Agenda Sources of Jitter, how to measure and why Importance of Noise Select the right probes! Capture the eye diagram Why measure

More information

CDR in Mercury Devices

CDR in Mercury Devices CDR in Mercury Devices February 2001, ver. 1.0 Application Note 130 Introduction Preliminary Information High-speed serial data transmission allows designers to transmit highbandwidth data using differential,

More information

SCAN18374T D-Type Flip-Flop with 3-STATE Outputs

SCAN18374T D-Type Flip-Flop with 3-STATE Outputs SCAN18374T D-Type Flip-Flop with 3-STATE Outputs General Description The SCAN18374T is a high speed, low-power D-type flipflop featuring separate D-type inputs organized into dual 9- bit bytes with byte-oriented

More information

Introduction. Protocol Definitions. The RS-485 Standard. APPLICATION NOTE 3884 How Far and How Fast Can You Go with RS-485?

Introduction. Protocol Definitions. The RS-485 Standard. APPLICATION NOTE 3884 How Far and How Fast Can You Go with RS-485? Maxim > App Notes > Interface Circuits Keywords: RS485, RS422, RS-485, RS-422, Interface, Protocol, Line Drivers, Differential Line Drivers Jul 25, 2006 APPLICATION NOTE 3884 How Far and How Fast Can You

More information

CONVERTING 1524 SWITCHING POWER SUPPLY DESIGNS TO THE SG1524B

CONVERTING 1524 SWITCHING POWER SUPPLY DESIGNS TO THE SG1524B LINEAR INTEGRATED CIRCUITS PS-5 CONVERTING 1524 SWITCHING POWER SUPPLY DESIGNS TO THE SG1524B Stan Dendinger Manager, Advanced Product Development Silicon General, Inc. INTRODUCTION Many power control

More information

MC-1010 Hardware Design Guide

MC-1010 Hardware Design Guide MC-1010 Hardware Design Guide Version 1.0 Date: 2013/12/31 1 General Rules for Design-in In order to obtain good GPS performances, there are some rules which require attentions for using MC-1010 GPS module.

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

ENT-AN0098 Application Note. Magnetics Guide. June 2018

ENT-AN0098 Application Note. Magnetics Guide. June 2018 ENT-AN0098 Application Note Magnetics Guide June 2018 Contents 1 Revision History... 1 1.1 Revision 2.2... 1 1.2 Revision 2.1... 1 1.3 Revision 2.0... 1 1.4 Revision 1.2... 1 1.5 Revision 1.1... 1 1.6

More information

SKY3000. Data Sheet TRIPLE-TRACK MAGNETIC STRIPE F2F DECODER IC. For More Information. Solution Way Co., Ltd

SKY3000. Data Sheet TRIPLE-TRACK MAGNETIC STRIPE F2F DECODER IC. For More Information. Solution Way Co., Ltd SKY3000 Data Sheet MAGNETIC STRIPE F2F DECODER IC For More Information www.solutionway.com ydlee@solutionway.com Tel:+82-31-605-3800 Fax:+82-31-605-3801 1 Introduction 1. Description..3 2. Features...3

More information

APPLICATION BULLETIN. SERIAL BACKGROUNDER (Serial 101) AB23-1. ICS ICS ELECTRONICS division of Systems West Inc. INTRODUCTION CHAPTER 2 - DATA FORMAT

APPLICATION BULLETIN. SERIAL BACKGROUNDER (Serial 101) AB23-1. ICS ICS ELECTRONICS division of Systems West Inc. INTRODUCTION CHAPTER 2 - DATA FORMAT ICS ICS ELECTRONICS division of Systems West Inc. AB- APPLICATION BULLETIN SERIAL BACKGROUNDER (Serial 0) INTRODUCTION Serial data communication is the most common means of transmitting data from one point

More information

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity Multiple Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity M. H. Tehranipour, N. Ahmed, M. Nourani Center for Integrated Circuits & Systems The University of Texas

More information

DIGITAL COMMUNICATION

DIGITAL COMMUNICATION DIGITAL COMMUNICATION TRAINING LAB Digital communication has emerged to augment or replace the conventional analog systems, which had been used widely a few decades back. Digital communication has demonstrated

More information

3V DUAL MODE TRANSCEIVER 434 MHz BAND Product Code:

3V DUAL MODE TRANSCEIVER 434 MHz BAND Product Code: 3V DUAL MODE TRANSCEIVER 434 MHz BAND Product Code: 32001269 Rev. 1.6 PRODUCT SUMMARY: Dual-mode transceiver operating in the 434 MHz ISM band with extremely compact dimensions. The module operates as

More information

Virtex-5 FPGA RocketIO GTP Transceiver IBIS-AMI Signal Integrity Simulation Kit User Guide

Virtex-5 FPGA RocketIO GTP Transceiver IBIS-AMI Signal Integrity Simulation Kit User Guide Virtex-5 FPGA RocketIO GTP Transceiver IBIS-AMI Signal Integrity Simulation Kit User Guide for SiSoft Quantum Channel Designer Notice of Disclaimer The information disclosed to you hereunder (the Materials

More information

Using Signaling Rate and Transfer Rate

Using Signaling Rate and Transfer Rate Application Report SLLA098A - February 2005 Using Signaling Rate and Transfer Rate Kevin Gingerich Advanced-Analog Products/High-Performance Linear ABSTRACT This document defines data signaling rate and

More information

TL494M PULSE-WIDTH-MODULATION CONTROL CIRCUIT

TL494M PULSE-WIDTH-MODULATION CONTROL CIRCUIT Complete PWM Power Control Circuitry Uncommitted Outputs for 00-mA Sink or Source Current Output Control Selects Single-Ended or Push-Pull Operation Internal Circuitry Prohibits Double Pulse at Either

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

SFP-SW10100-R-TP 10/100/1000 BASE-T Copper SFP Transceiver

SFP-SW10100-R-TP 10/100/1000 BASE-T Copper SFP Transceiver SFP-SW10100-R-TP 10/100/1000 BASE-T Copper SFP Transceiver PRODUCT FEATURES Up to 1.25 Gb/s bi-directional data links Hot-pluggable SFP footprint Low power dissipation(1.05w typical) Compact RJ-45 assembly

More information

There are many important factors when trying to achieve good, reliable communications between 2 devices.

There are many important factors when trying to achieve good, reliable communications between 2 devices. APPLICATION NOTE THIS INFORMATION PROVIDED BY AUTOMATIONDIRECT.COM TECHNICAL SUPPORT These documents are provided by our technical support department to assist others. We do not guarantee that the data

More information

Adaptive Cable Equalizer for IEEE 1394b

Adaptive Cable Equalizer for IEEE 1394b EQCO400T Features Adaptive Cable Equalizer for IEEE 1394b Functional Description Multi-Rate Adaptive Equalization Supports IEEE 1394b - S400, S200 and S100 data rates Seamless connection with compliant

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

Features. Future Electronics (

Features. Future Electronics ( / ASB Embedding the wireless future.. Low-Cost SAW-stabilized surface mount OOK RF transmitter Typical Applications Remote Keyless Entry (RKE) Remote Lighting Controls On-Site Paging Asset Tracking Wireless

More information

Overall Accuracy = ENOB (Effective Number of Bits)

Overall Accuracy = ENOB (Effective Number of Bits) Overall Accuracy = ENOB (Effective Number of Bits) In choosing a data acquisition board, there is probably no more important specification than its overall accuracy that is, how closely the output data

More information

Why and How Isolated Gate Drivers

Why and How Isolated Gate Drivers www.analog.com ISOLATED GATE DRIVERS 23 Why and How Isolated Gate Drivers An IGBT/power MOSFET is a voltage-controlled device which is used as a switching element in power supply circuits or motor drives.

More information

1000BASE-T Copper SFP Transceiver

1000BASE-T Copper SFP Transceiver 000BASE-T Copper SFP Transceiver Product Overview STC-0003 000BASE-T Copper Small Form Pluggable (SFP) transceivers are based on the SFP Multi Source Agreement (MSA). They are compatible with the Gigabit

More information

Dual-Rate Fibre Channel Repeaters

Dual-Rate Fibre Channel Repeaters 9-292; Rev ; 7/04 Dual-Rate Fibre Channel Repeaters General Description The are dual-rate (.0625Gbps and 2.25Gbps) fibre channel repeaters. They are optimized for use in fibre channel arbitrated loop applications

More information

AXGE Gbps Single-mode 1310nm, SFP Transceiver

AXGE Gbps Single-mode 1310nm, SFP Transceiver AXGE-1354 1.25Gbps Single-mode 1310nm, SFP Transceiver Product Overview Features The AXGE-1354 family of Small Form Factor Pluggable (SFP) transceiver module is specifically designed for the high performance

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information