Department of Electrical Engineering. Laboratory Manual Digital Signal Processing

Size: px
Start display at page:

Download "Department of Electrical Engineering. Laboratory Manual Digital Signal Processing"

Transcription

1 Department of Electrical Engineering Laboratory Manual Digital Signal Processing ABASYN UNIVERSITY ISLAMABAD CAMPUS Lab Instructor: Asim Ul Haq Abasyn University Islamabad Campus Digital Signal Processing Lab Manual Page

2 LAB No. 8 Multi-rate Digital Signal Processing Objective: The digital signal processing structures discussed so far belong to the class of singlerate systems as the sampling rates at the input and the output and all internal nodes are the same. There are applications where it is necessary and often convenient to have unequal rates of sampling at various parts of the system including the input and the output. In this laboratory exercise you will investigate first using MATLAB the properties of the up-sampler and the down-sampler, the two basic components of a multi-rate system. You will then investigate their use in designing more complex systems, such as interpolators and decimators, and filter banks. Basic Sampling Rate Alteration Devices The objective of this section is to investigate using MATLAB the operations of the upsampler and the down-sampler both in the time domain and in the frequency domain. Project. Input-Output Relations in the Time-Domain Program P_ can be used to study the operation of a up-sampler. Program_ Illustration of Up-Sampling by an Integer Factor close all; clear all; clc n=:5; x=sin(*pi*.*n); y=zeros(,3*length(x)); y([:3:length(y)])=x; subplot(,,) stem(n,x); subplot(,,) stem(n,y(:length(x))); Abasyn University Islamabad Campus Digital Signal Processing Lab Manual Page

3 InputSequence OutputSequence ProgramP_ Illustration of Down-Sampling by an Integer Factor close all; clear all; clc n = :49; m = :5*3; x = sin(*pi*.4*m); y = x([:3:length(x)]); subplot(,,) stem(n,x(:5));axis([ 5..]); xlabel(''); ylabel(''); subplot(,,) stem(n,y);axis([ 5..]); xlabel(''); ylabel(''); The Signal Processing Toolbox includes three M-functions which can be employed to design and implement an interpolator or a decimator. The three M-functions are decimate, interp, and resample. Each function is available with several options. In this section you will study the decimation and interpolation operation using these functions. Abasyn University Islamabad Campus Digital Signal Processing Lab Manual Page 3

4 Project. Decimator Design and Implementation Program P_3 illustrates the use of the M-function decimate in the design and implementation of a decimator with an integer-valued decimation factor M. In the option utilized in this program, decimate designs and uses a lowpass decimation filter with a stopband edge. ProgramP_3 Illustration of Decimation Process clear all; close all; clc M=input('Down-samplingfactor='); n=:99; x=sin(*pi*.43*n)+sin(*pi*.3*n); y=decimate(x,m,'fir'); subplot(,,); stem(n,x(:)); subplot(,,); m=:(/m); stem(m,y(:/m)); InputSequence OutputSequence Abasyn University Islamabad Campus Digital Signal Processing Lab Manual Page 4

5 Decimator and Interpolator Design and Implementation Project.3 Interpolator Design and Implementation Program P_4 illustrates the use of the M-function interp in the design and implementation of an interpolator with an integer-valued interpolation factor L. interp designs and uses a lowpass interpolation filter with a stopband edge satisfying Eq. (.). H(e jω L, ω ω ) = { c /L (.), π/l ω π ProgramP_4 Illustration of Interpolation Process clear all; close all; clc L=input('Up-samplingfactor='); Generate the input sequence n=:49; x=sin(*pi*.43*n)+sin(*pi*.3*n); Generate the interpolated output sequence y=interp(x,l); Plot the input and the output sequences subplot(,,); stem(n,x(:5)); subplot(,,); m=:(5*l); stem(m,y(:5*l)); InputSequence OutputSequence Abasyn University Islamabad Campus Digital Signal Processing Lab Manual Page 5

6 Project.4 Fractional-Rate Sampling Rate Alteration Program P_5 illustrates the use of the M-function resample in the design and implementation of an interpolator with a fractional-rate interpolation factor L/M. Resample designs and uses a lowpass interpolation filter with a stopband edge. Program_5 Illustration of Sampling Rate Alteration by a Ratio of Two Integers close all; clear all; clc L=input('Up-samplingfactor='); M=input('Down-samplingfactor='); n=:9; x=sin(*pi*.43*n)+sin(*pi*.3*n); y=resample(x,l,m); subplot(,,); stem(n,x(:3));axis([ 9 -..]); subplot(,,); m=:(3*l/m); stem(m,y(:3*l/m));axis([ (3*L/M) -..]); Lab Tasks. What is the angular frequency in radians of the sinusoidal sequence in Program P_? What is its length? What is the up-sampling factor L?. How is the up-sampling operation implemented in Program P_? 3. Modify Program P_ to study the operation of an up-sampler on a ramp sequence. 4. Program P_ can be used to study the operation of a down-sampler 5. What is the angular frequency in radians of the sinusoidal sequence Program P_? What is its length? What is the down-sampling factor M? 6. How is the down-sampling operation implemented in Program P_? 7. What are the frequencies of the two sinusoidal sequences forming the input sequence in Program P_3? What is the length of the input? Abasyn University Islamabad Campus Digital Signal Processing Lab Manual Page 6

7 8. What are the type and order of the decimation filter? 9. Run Program P_3 for M = 4 and comment on the results.. Change the frequencies of the two sinusoidal sequences in Program P_3 in the input signal to.45 and.9, and the length of the input to. Run the modified Program P 5 for M = 3. Comment on your results.. What are the frequencies of the two sinusoidal sequences in Program P_4 forming the input sequence? What is the length of the input? What are the type and order of the interpolation filter?. Run Program P_4 for L = and comment on the results. 3. Change the frequencies of the two sinusoidal sequences in the input signal to.45 and.9, and the length of the input to 4. Run the modified Program P_4 for L = 3. Comment on your results. 4. What are the frequencies of the two sinusoidal sequences in Program P_5 forming the input sequence? What is the length of the input? What are the type and order of the bandlimiting filter? 5. Change the frequencies of the two sinusoidal sequences in the input signal to.45 and.9, and the length of the input to 4. Run the modified Program P 7 for L = 3 and M = 5. Comment on your results. Checked By: Date: Abasyn University Islamabad Campus Digital Signal Processing Lab Manual Page 7

Multirate Digital Signal Processing

Multirate Digital Signal Processing Multirate Digital Signal Processing Basic Sampling Rate Alteration Devices Up-sampler - Used to increase the sampling rate by an integer factor Down-sampler - Used to increase the sampling rate by an integer

More information

Sampling of Continuous-Time Signals. Reference chapter 4 in Oppenheim and Schafer.

Sampling of Continuous-Time Signals. Reference chapter 4 in Oppenheim and Schafer. Sampling of Continuous-Time Signals Reference chapter 4 in Oppenheim and Schafer. Periodic Sampling of Continuous Signals T = sampling period fs = sampling frequency when expressing frequencies in radians

More information

Multirate DSP, part 1: Upsampling and downsampling

Multirate DSP, part 1: Upsampling and downsampling Multirate DSP, part 1: Upsampling and downsampling Li Tan - April 21, 2008 Order this book today at www.elsevierdirect.com or by calling 1-800-545-2522 and receive an additional 20% discount. Use promotion

More information

Digital Signal Processing Fourier Analysis of Continuous-Time Signals with the Discrete Fourier Transform

Digital Signal Processing Fourier Analysis of Continuous-Time Signals with the Discrete Fourier Transform Digital Signal Processing Fourier Analysis of Continuous-Time Signals with the Discrete Fourier Transform D. Richard Brown III D. Richard Brown III 1 / 11 Fourier Analysis of CT Signals with the DFT Scenario:

More information

DIGITAL SIGNAL PROCESSING. Chapter 1 Introduction to Discrete-Time Signals & Sampling

DIGITAL SIGNAL PROCESSING. Chapter 1 Introduction to Discrete-Time Signals & Sampling DIGITAL SIGNAL PROCESSING Chapter 1 Introduction to Discrete-Time Signals & Sampling by Dr. Norizam Sulaiman Faculty of Electrical & Electronics Engineering norizam@ump.edu.my OER Digital Signal Processing

More information

Islamic University of Gaza. Faculty of Engineering Electrical Engineering Department Spring-2011

Islamic University of Gaza. Faculty of Engineering Electrical Engineering Department Spring-2011 Islamic University of Gaza Faculty of Engineering Electrical Engineering Department Spring-2011 DSP Laboratory (EELE 4110) Lab#4 Sampling and Quantization OBJECTIVES: When you have completed this assignment,

More information

PROBLEM SET 6. Note: This version is preliminary in that it does not yet have instructions for uploading the MATLAB problems.

PROBLEM SET 6. Note: This version is preliminary in that it does not yet have instructions for uploading the MATLAB problems. PROBLEM SET 6 Issued: 2/32/19 Due: 3/1/19 Reading: During the past week we discussed change of discrete-time sampling rate, introducing the techniques of decimation and interpolation, which is covered

More information

L A B 3 : G E N E R A T I N G S I N U S O I D S

L A B 3 : G E N E R A T I N G S I N U S O I D S L A B 3 : G E N E R A T I N G S I N U S O I D S NAME: DATE OF EXPERIMENT: DATE REPORT SUBMITTED: 1/7 1 THEORY DIGITAL SIGNAL PROCESSING LABORATORY 1.1 GENERATION OF DISCRETE TIME SINUSOIDAL SIGNALS IN

More information

Concordia University. Discrete-Time Signal Processing. Lab Manual (ELEC442) Dr. Wei-Ping Zhu

Concordia University. Discrete-Time Signal Processing. Lab Manual (ELEC442) Dr. Wei-Ping Zhu Concordia University Discrete-Time Signal Processing Lab Manual (ELEC442) Course Instructor: Dr. Wei-Ping Zhu Fall 2012 Lab 1: Linear Constant Coefficient Difference Equations (LCCDE) Objective In this

More information

ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015

ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015 Purdue University: ECE438 - Digital Signal Processing with Applications 1 ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015 1 Introduction

More information

ESE 531: Digital Signal Processing

ESE 531: Digital Signal Processing ESE 531: Digital Signal Processing Lec 10: February 15th, 2018 Practical and Non-integer Sampling, Multirate Sampling Signals and Systems Review 3 Lecture Outline! Review: Downsampling/Upsampling! Non-integer

More information

GEORGIA INSTITUTE OF TECHNOLOGY. SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2026 Summer 2018 Lab #8: Filter Design of FIR Filters

GEORGIA INSTITUTE OF TECHNOLOGY. SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2026 Summer 2018 Lab #8: Filter Design of FIR Filters GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING ECE 2026 Summer 2018 Lab #8: Filter Design of FIR Filters Date: 19. Jul 2018 Pre-Lab: You should read the Pre-Lab section of

More information

Universiti Malaysia Perlis EKT430: DIGITAL SIGNAL PROCESSING LAB ASSIGNMENT 1: DISCRETE TIME SIGNALS IN THE TIME DOMAIN

Universiti Malaysia Perlis EKT430: DIGITAL SIGNAL PROCESSING LAB ASSIGNMENT 1: DISCRETE TIME SIGNALS IN THE TIME DOMAIN Universiti Malaysia Perlis EKT430: DIGITAL SIGNAL PROCESSING LAB ASSIGNMENT 1: DISCRETE TIME SIGNALS IN THE TIME DOMAIN Pusat Pengajian Kejuruteraan Komputer Dan Perhubungan Universiti Malaysia Perlis

More information

DSP First. Laboratory Exercise #2. Introduction to Complex Exponentials

DSP First. Laboratory Exercise #2. Introduction to Complex Exponentials DSP First Laboratory Exercise #2 Introduction to Complex Exponentials The goal of this laboratory is gain familiarity with complex numbers and their use in representing sinusoidal signals as complex exponentials.

More information

Multirate Filtering, Resampling Filters, Polyphase Filters. or how to make efficient FIR filters

Multirate Filtering, Resampling Filters, Polyphase Filters. or how to make efficient FIR filters Multirate Filtering, Resampling Filters, Polyphase Filters or how to make efficient FIR filters THE NOBLE IDENTITY 1 Efficient Implementation of Resampling filters H(z M ) M:1 M:1 H(z) Rule 1: Filtering

More information

Lab 8: Frequency Response and Filtering

Lab 8: Frequency Response and Filtering Lab 8: Frequency Response and Filtering Pre-Lab and Warm-Up: You should read at least the Pre-Lab and Warm-up sections of this lab assignment and go over all exercises in the Pre-Lab section before going

More information

Sampling and Signal Processing

Sampling and Signal Processing Sampling and Signal Processing Sampling Methods Sampling is most commonly done with two devices, the sample-and-hold (S/H) and the analog-to-digital-converter (ADC) The S/H acquires a continuous-time signal

More information

Sampling and Reconstruction of Analog Signals

Sampling and Reconstruction of Analog Signals Sampling and Reconstruction of Analog Signals Chapter Intended Learning Outcomes: (i) Ability to convert an analog signal to a discrete-time sequence via sampling (ii) Ability to construct an analog signal

More information

C.11 Sampling and Aliasing Solution

C.11 Sampling and Aliasing Solution 158 APPENDIX C. LABORATORY EXERCISES SOLUTIONS C.11 Sampling and Aliasing Solution C.11.1 In-lab section 1. To get a frequency sweep from to 12 khz in seconds we need to choose f so that 2ft = when t =.

More information

Laboratory Manual 2, MSPS. High-Level System Design

Laboratory Manual 2, MSPS. High-Level System Design No Rev Date Repo Page 0002 A 2011-09-07 MSPS 1 of 16 Title High-Level System Design File MSPS_0002_LM_matlabSystem_A.odt Type EX -- Laboratory Manual 2, Area MSPS ES : docs : courses : msps Created Per

More information

Lab S-5: DLTI GUI and Nulling Filters. Please read through the information below prior to attending your lab.

Lab S-5: DLTI GUI and Nulling Filters. Please read through the information below prior to attending your lab. DSP First, 2e Signal Processing First Lab S-5: DLTI GUI and Nulling Filters Pre-Lab: Read the Pre-Lab and do all the exercises in the Pre-Lab section prior to attending lab. Verification: The Exercise

More information

LAB 4 GENERATION OF ASK MODULATION SIGNAL

LAB 4 GENERATION OF ASK MODULATION SIGNAL Total Marks: / LAB 4 GENERATION OF ASK MODULATION SIGNAL Student Name:... Metrics Num:... Date:... Instructor Name:... Faculty of Engineering Technology (BTECH), Universiti Malaysia Perlis SUBMITTED Signature

More information

ESE 531: Digital Signal Processing

ESE 531: Digital Signal Processing ESE 531: Digital Signal Processing Lec 10: February 14th, 2017 Practical and Non-integer Sampling, Multirate Sampling Lecture Outline! Downsampling/Upsampling! Practical Interpolation! Non-integer Resampling!

More information

DSP First Lab 08: Frequency Response: Bandpass and Nulling Filters

DSP First Lab 08: Frequency Response: Bandpass and Nulling Filters DSP First Lab 08: Frequency Response: Bandpass and Nulling Filters Pre-Lab and Warm-Up: You should read at least the Pre-Lab and Warm-up sections of this lab assignment and go over all exercises in the

More information

DFT: Discrete Fourier Transform & Linear Signal Processing

DFT: Discrete Fourier Transform & Linear Signal Processing DFT: Discrete Fourier Transform & Linear Signal Processing 2 nd Year Electronics Lab IMPERIAL COLLEGE LONDON Table of Contents Equipment... 2 Aims... 2 Objectives... 2 Recommended Textbooks... 3 Recommended

More information

LABORATORY - FREQUENCY ANALYSIS OF DISCRETE-TIME SIGNALS

LABORATORY - FREQUENCY ANALYSIS OF DISCRETE-TIME SIGNALS LABORATORY - FREQUENCY ANALYSIS OF DISCRETE-TIME SIGNALS INTRODUCTION The objective of this lab is to explore many issues involved in sampling and reconstructing signals, including analysis of the frequency

More information

ECE 2713 Homework 7 DUE: 05/1/2018, 11:59 PM

ECE 2713 Homework 7 DUE: 05/1/2018, 11:59 PM Spring 2018 What to Turn In: ECE 2713 Homework 7 DUE: 05/1/2018, 11:59 PM Dr. Havlicek Submit your solution for this assignment electronically on Canvas by uploading a file to ECE-2713-001 > Assignments

More information

Digital Signal Processing

Digital Signal Processing Digital Signal Processing Lecture 9 Discrete-Time Processing of Continuous-Time Signals Alp Ertürk alp.erturk@kocaeli.edu.tr Analog to Digital Conversion Most real life signals are analog signals These

More information

Discrete-Time Signal Processing (DTSP) v14

Discrete-Time Signal Processing (DTSP) v14 EE 392 Laboratory 5-1 Discrete-Time Signal Processing (DTSP) v14 Safety - Voltages used here are less than 15 V and normally do not present a risk of shock. Objective: To study impulse response and the

More information

Filters. Signals are sequences of numbers. Simple algebraic operations on signals can perform useful functions: shifting multiplication addition

Filters. Signals are sequences of numbers. Simple algebraic operations on signals can perform useful functions: shifting multiplication addition Filters Signals are sequences of numbers. Simple algebraic operations on signals can perform useful functions: shifting multiplication addition Simple Example... Smooth points to better reveal trend X

More information

Basic Signals and Systems

Basic Signals and Systems Chapter 2 Basic Signals and Systems A large part of this chapter is taken from: C.S. Burrus, J.H. McClellan, A.V. Oppenheim, T.W. Parks, R.W. Schafer, and H. W. Schüssler: Computer-based exercises for

More information

Digital Video and Audio Processing. Winter term 2002/ 2003 Computer-based exercises

Digital Video and Audio Processing. Winter term 2002/ 2003 Computer-based exercises Digital Video and Audio Processing Winter term 2002/ 2003 Computer-based exercises Rudolf Mester Institut für Angewandte Physik Johann Wolfgang Goethe-Universität Frankfurt am Main 6th November 2002 Chapter

More information

IIR Filter Design Chapter Intended Learning Outcomes: (i) Ability to design analog Butterworth filters

IIR Filter Design Chapter Intended Learning Outcomes: (i) Ability to design analog Butterworth filters IIR Filter Design Chapter Intended Learning Outcomes: (i) Ability to design analog Butterworth filters (ii) Ability to design lowpass IIR filters according to predefined specifications based on analog

More information

GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2025 Fall 1999 Lab #7: Frequency Response & Bandpass Filters

GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2025 Fall 1999 Lab #7: Frequency Response & Bandpass Filters GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING ECE 2025 Fall 1999 Lab #7: Frequency Response & Bandpass Filters Date: 12 18 Oct 1999 This is the official Lab #7 description;

More information

Experiment 9 AC Circuits

Experiment 9 AC Circuits Experiment 9 AC Circuits "Look for knowledge not in books but in things themselves." W. Gilbert (1540-1603) OBJECTIVES To study some circuit elements and a simple AC circuit. THEORY All useful circuits

More information

George Mason University Signals and Systems I Spring 2016

George Mason University Signals and Systems I Spring 2016 George Mason University Signals and Systems I Spring 2016 Laboratory Project #4 Assigned: Week of March 14, 2016 Due Date: Laboratory Section, Week of April 4, 2016 Report Format and Guidelines for Laboratory

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 MULTIRATE SIGNAL PROCESSING APPROACHES Ms. Purvi U. Gandecha Dr. S. A. Ladhake ** ABSTRACT: Multirate Signal Processing studies Digital Signal Processing systems

More information

EEO 401 Digital Signal Processing Prof. Mark Fowler

EEO 401 Digital Signal Processing Prof. Mark Fowler EEO 41 Digital Signal Processing Prof. Mark Fowler Note Set #17.5 MATLAB Examples Reading Assignment: MATLAB Tutorial on Course Webpage 1/24 Folder Navigation Current folder name here Type commands here

More information

EECS 455 Solution to Problem Set 3

EECS 455 Solution to Problem Set 3 EECS 455 Solution to Problem Set 3. (a) Is it possible to have reliably communication with a data rate of.5mbps using power P 3 Watts with a bandwidth of W MHz and a noise power spectral density of N 8

More information

Lab S-3: Beamforming with Phasors. N r k. is the time shift applied to r k

Lab S-3: Beamforming with Phasors. N r k. is the time shift applied to r k DSP First, 2e Signal Processing First Lab S-3: Beamforming with Phasors Pre-Lab: Read the Pre-Lab and do all the exercises in the Pre-Lab section prior to attending lab. Verification: The Exercise section

More information

ECE503: Digital Signal Processing Lecture 1

ECE503: Digital Signal Processing Lecture 1 ECE503: Digital Signal Processing Lecture 1 D. Richard Brown III WPI 12-January-2012 WPI D. Richard Brown III 12-January-2012 1 / 56 Lecture 1 Major Topics 1. Administrative details: Course web page. Syllabus

More information

EBU5375 Signals and Systems: Filtering and sampling in Matlab. Dr Jesús Requena Carrión

EBU5375 Signals and Systems: Filtering and sampling in Matlab. Dr Jesús Requena Carrión EBU5375 Signals and Systems: Filtering and sampling in Matlab Dr Jesús Requena Carrión Background: Ideal filters We have learnt three types of filters: lowpass, highpass and bandpass filters. We represent

More information

CHAPTER 3 DECIMALS. EXERCISE 8 Page Convert 0.65 to a proper fraction may be written as: 100. i.e = =

CHAPTER 3 DECIMALS. EXERCISE 8 Page Convert 0.65 to a proper fraction may be written as: 100. i.e = = CHAPTER 3 DECIMALS EXERCISE 8 Page 21 1. Convert 0.65 to a proper fraction. 0.65 may be written as: 0.65 100 100 i.e. 0.65 65 100 Dividing both numerator and denominator by 5 gives: 65 13 100 20 Hence,

More information

Project I: Phase Tracking and Baud Timing Correction Systems

Project I: Phase Tracking and Baud Timing Correction Systems Project I: Phase Tracking and Baud Timing Correction Systems ECES 631, Prof. John MacLaren Walsh, Ph. D. 1 Purpose In this lab you will encounter the utility of the fundamental Fourier and z-transform

More information

FREQUENCY RESPONSE AND PASSIVE FILTERS LABORATORY

FREQUENCY RESPONSE AND PASSIVE FILTERS LABORATORY FREQUENCY RESPONSE AND PASSIVE FILTERS LABORATORY In this experiment we will analytically determine and measure the frequency response of networks containing resistors, AC source/sources, and energy storage

More information

Introduction to Signals, Passive RC Filters and Opamps

Introduction to Signals, Passive RC Filters and Opamps Introduction to Signals, ive RC Filters and Opamps LB Introduction In this laboratory exercise you design, build and test some simple filter circuits. his is mainly for you to get comfortable with circuit

More information

Lab 1: First Order CT Systems, Blockdiagrams, Introduction

Lab 1: First Order CT Systems, Blockdiagrams, Introduction ECEN 3300 Linear Systems Spring 2010 1-18-10 P. Mathys Lab 1: First Order CT Systems, Blockdiagrams, Introduction to Simulink 1 Introduction Many continuous time (CT) systems of practical interest can

More information

Signal Processing. Introduction

Signal Processing. Introduction Signal Processing 0 Introduction One of the premiere uses of MATLAB is in the analysis of signal processing and control systems. In this chapter we consider signal processing. The final chapter of the

More information

EE 422G - Signals and Systems Laboratory

EE 422G - Signals and Systems Laboratory EE 422G - Signals and Systems Laboratory Lab 3 FIR Filters Written by Kevin D. Donohue Department of Electrical and Computer Engineering University of Kentucky Lexington, KY 40506 September 19, 2015 Objectives:

More information

5650 chapter4. November 6, 2015

5650 chapter4. November 6, 2015 5650 chapter4 November 6, 2015 Contents Sampling Theory 2 Starting Point............................................. 2 Lowpass Sampling Theorem..................................... 2 Principle Alias Frequency..................................

More information

Real Numbers and the Number Line. Unit 1 Lesson 3

Real Numbers and the Number Line. Unit 1 Lesson 3 Real Numbers and the Number Line Unit 1 Lesson 3 Students will be able to: graph and compare real numbers using the number line. Key Vocabulary: Real Number Rational Number Irrational number Non-Integers

More information

SMS045 - DSP Systems in Practice. Lab 1 - Filter Design and Evaluation in MATLAB Due date: Thursday Nov 13, 2003

SMS045 - DSP Systems in Practice. Lab 1 - Filter Design and Evaluation in MATLAB Due date: Thursday Nov 13, 2003 SMS045 - DSP Systems in Practice Lab 1 - Filter Design and Evaluation in MATLAB Due date: Thursday Nov 13, 2003 Lab Purpose This lab will introduce MATLAB as a tool for designing and evaluating digital

More information

Jawaharlal Nehru Engineering College

Jawaharlal Nehru Engineering College Jawaharlal Nehru Engineering College Laboratory Manual SIGNALS & SYSTEMS For Third Year Students Prepared By: Ms.Sunetra S Suvarna Assistant Professor Author JNEC INSTRU. & CONTROL DEPT., Aurangabad SUBJECT

More information

ECE 429 / 529 Digital Signal Processing

ECE 429 / 529 Digital Signal Processing ECE 429 / 529 Course Policy & Syllabus R. N. Strickland SYLLABUS ECE 429 / 529 Digital Signal Processing SPRING 2009 I. Introduction DSP is concerned with the digital representation of signals and the

More information

MULTIRATE DIGITAL SIGNAL PROCESSING

MULTIRATE DIGITAL SIGNAL PROCESSING AT&T MULTIRATE DIGITAL SIGNAL PROCESSING RONALD E. CROCHIERE LAWRENCE R. RABINER Acoustics Research Department Bell Laboratories Murray Hill, New Jersey Prentice-Hall, Inc., Upper Saddle River, New Jersey

More information

! Multi-Rate Filter Banks (con t) ! Data Converters. " Anti-aliasing " ADC. " Practical DAC. ! Noise Shaping

! Multi-Rate Filter Banks (con t) ! Data Converters.  Anti-aliasing  ADC.  Practical DAC. ! Noise Shaping Lecture Outline ESE 531: Digital Signal Processing! (con t)! Data Converters Lec 11: February 16th, 2017 Data Converters, Noise Shaping " Anti-aliasing " ADC " Quantization "! Noise Shaping 2! Use filter

More information

Final Exam Solutions June 14, 2006

Final Exam Solutions June 14, 2006 Name or 6-Digit Code: PSU Student ID Number: Final Exam Solutions June 14, 2006 ECE 223: Signals & Systems II Dr. McNames Keep your exam flat during the entire exam. If you have to leave the exam temporarily,

More information

Compensation of a position servo

Compensation of a position servo UPPSALA UNIVERSITY SYSTEMS AND CONTROL GROUP CFL & BC 9610, 9711 HN & PSA 9807, AR 0412, AR 0510, HN 2006-08 Automatic Control Compensation of a position servo Abstract The angular position of the shaft

More information

Laboratory Project 4: Frequency Response and Filters

Laboratory Project 4: Frequency Response and Filters 2240 Laboratory Project 4: Frequency Response and Filters K. Durney and N. E. Cotter Electrical and Computer Engineering Department University of Utah Salt Lake City, UT 84112 Abstract-You will build a

More information

Laboratory Assignment 4. Fourier Sound Synthesis

Laboratory Assignment 4. Fourier Sound Synthesis Laboratory Assignment 4 Fourier Sound Synthesis PURPOSE This lab investigates how to use a computer to evaluate the Fourier series for periodic signals and to synthesize audio signals from Fourier series

More information

Window Method. designates the window function. Commonly used window functions in FIR filters. are: 1. Rectangular Window:

Window Method. designates the window function. Commonly used window functions in FIR filters. are: 1. Rectangular Window: Window Method We have seen that in the design of FIR filters, Gibbs oscillations are produced in the passband and stopband, which are not desirable features of the FIR filter. To solve this problem, window

More information

Sinusoids and Phasors (Chapter 9 - Lecture #1) Dr. Shahrel A. Suandi Room 2.20, PPKEE

Sinusoids and Phasors (Chapter 9 - Lecture #1) Dr. Shahrel A. Suandi Room 2.20, PPKEE Sinusoids and Phasors (Chapter 9 - Lecture #1) Dr. Shahrel A. Suandi Room 2.20, PPKEE Email:shahrel@eng.usm.my 1 Outline of Chapter 9 Introduction Sinusoids Phasors Phasor Relationships for Circuit Elements

More information

Digital Signal Processing (Subject Code: 7EC2)

Digital Signal Processing (Subject Code: 7EC2) CIITM, JAIPUR (DEPARTMENT OF ELECTRONICS & COMMUNICATION) Notes Digital Signal Processing (Subject Code: 7EC2) Prepared Class: B. Tech. IV Year, VII Semester Syllabus UNIT 1: SAMPLING - Discrete time processing

More information

INC 253 Digital and electronics laboratory I

INC 253 Digital and electronics laboratory I INC 253 Digital and electronics laboratory I Laboratory 4 Wave Shaping Diode Circuits Author: ID CoAuthors: 1. ID 2. ID 3. ID Experiment Date: Report received Date: Comments For Instructor Full Marks Pre

More information

The Formula for Sinusoidal Signals

The Formula for Sinusoidal Signals The Formula for I The general formula for a sinusoidal signal is x(t) =A cos(2pft + f). I A, f, and f are parameters that characterize the sinusoidal sinal. I A - Amplitude: determines the height of the

More information

George Mason University ECE 201: Introduction to Signal Analysis Spring 2017

George Mason University ECE 201: Introduction to Signal Analysis Spring 2017 Assigned: March 7, 017 Due Date: Week of April 10, 017 George Mason University ECE 01: Introduction to Signal Analysis Spring 017 Laboratory Project #7 Due Date Your lab report must be submitted on blackboard

More information

ECE 5650/4650 MATLAB Project 1

ECE 5650/4650 MATLAB Project 1 This project is to be treated as a take-home exam, meaning each student is to due his/her own work. The project due date is 4:30 PM Tuesday, October 18, 2011. To work the project you will need access to

More information

Optimal Design RRC Pulse Shape Polyphase FIR Decimation Filter for Multi-Standard Wireless Transceivers

Optimal Design RRC Pulse Shape Polyphase FIR Decimation Filter for Multi-Standard Wireless Transceivers Optimal Design RRC Pulse Shape Polyphase FIR Decimation Filter for ulti-standard Wireless Transceivers ANDEEP SINGH SAINI 1, RAJIV KUAR 2 1.Tech (E.C.E), Guru Nanak Dev Engineering College, Ludhiana, P.

More information

ECE503 Homework Assignment Number 8 Solution

ECE503 Homework Assignment Number 8 Solution ECE53 Homework Assignment Number 8 Solution 1. 3 points. Recall that an analog integrator has transfer function H a (s) = 1 s. Use the bilinear transform to find the digital transfer function G(z) from

More information

Experiment 8: Sampling

Experiment 8: Sampling Prepared By: 1 Experiment 8: Sampling Objective The objective of this Lab is to understand concepts and observe the effects of periodically sampling a continuous signal at different sampling rates, changing

More information

EECS 216 Winter 2008 Lab 2: FM Detector Part I: Intro & Pre-lab Assignment

EECS 216 Winter 2008 Lab 2: FM Detector Part I: Intro & Pre-lab Assignment EECS 216 Winter 2008 Lab 2: Part I: Intro & Pre-lab Assignment c Kim Winick 2008 1 Introduction In the first few weeks of EECS 216, you learned how to determine the response of an LTI system by convolving

More information

Chapter 7 Filter Design Techniques. Filter Design Techniques

Chapter 7 Filter Design Techniques. Filter Design Techniques Chapter 7 Filter Design Techniques Page 1 Outline 7.0 Introduction 7.1 Design of Discrete Time IIR Filters 7.2 Design of FIR Filters Page 2 7.0 Introduction Definition of Filter Filter is a system that

More information

Here are some of Matlab s complex number operators: conj Complex conjugate abs Magnitude. Angle (or phase) in radians

Here are some of Matlab s complex number operators: conj Complex conjugate abs Magnitude. Angle (or phase) in radians Lab #2: Complex Exponentials Adding Sinusoids Warm-Up/Pre-Lab (section 2): You may do these warm-up exercises at the start of the lab period, or you may do them in advance before coming to the lab. You

More information

AC Circuits. "Look for knowledge not in books but in things themselves." W. Gilbert ( )

AC Circuits. Look for knowledge not in books but in things themselves. W. Gilbert ( ) AC Circuits "Look for knowledge not in books but in things themselves." W. Gilbert (1540-1603) OBJECTIVES To study some circuit elements and a simple AC circuit. THEORY All useful circuits use varying

More information

UNIVERSITY OF WARWICK

UNIVERSITY OF WARWICK UNIVERSITY OF WARWICK School of Engineering ES905 MSc Signal Processing Module (2010) AM SIGNALS AND FILTERING EXERCISE Deadline: This is NOT for credit. It is best done before the first assignment. You

More information

Digital Processing of Continuous-Time Signals

Digital Processing of Continuous-Time Signals Chapter 4 Digital Processing of Continuous-Time Signals 清大電機系林嘉文 cwlin@ee.nthu.edu.tw 03-5731152 Original PowerPoint slides prepared by S. K. Mitra 4-1-1 Digital Processing of Continuous-Time Signals Digital

More information

ESE 531: Digital Signal Processing

ESE 531: Digital Signal Processing ESE 531: Digital Signal Processing Lec 11: February 20, 2018 Data Converters, Noise Shaping Lecture Outline! Review: Multi-Rate Filter Banks " Quadrature Mirror Filters! Data Converters " Anti-aliasing

More information

arxiv: v1 [cs.it] 9 Mar 2016

arxiv: v1 [cs.it] 9 Mar 2016 A Novel Design of Linear Phase Non-uniform Digital Filter Banks arxiv:163.78v1 [cs.it] 9 Mar 16 Sakthivel V, Elizabeth Elias Department of Electronics and Communication Engineering, National Institute

More information

Lab 4 Fourier Series and the Gibbs Phenomenon

Lab 4 Fourier Series and the Gibbs Phenomenon Lab 4 Fourier Series and the Gibbs Phenomenon EE 235: Continuous-Time Linear Systems Department of Electrical Engineering University of Washington This work 1 was written by Amittai Axelrod, Jayson Bowen,

More information

Digital Processing of

Digital Processing of Chapter 4 Digital Processing of Continuous-Time Signals 清大電機系林嘉文 cwlin@ee.nthu.edu.tw 03-5731152 Original PowerPoint slides prepared by S. K. Mitra 4-1-1 Digital Processing of Continuous-Time Signals Digital

More information

ECE503: Digital Filter Design Lecture 9

ECE503: Digital Filter Design Lecture 9 ECE503: Digital Filter Design Lecture 9 D. Richard Brown III WPI 26-March-2012 WPI D. Richard Brown III 26-March-2012 1 / 33 Lecture 9 Topics Within the broad topic of digital filter design, we are going

More information

Laboratory Assignment 5 Amplitude Modulation

Laboratory Assignment 5 Amplitude Modulation Laboratory Assignment 5 Amplitude Modulation PURPOSE In this assignment, you will explore the use of digital computers for the analysis, design, synthesis, and simulation of an amplitude modulation (AM)

More information

Module 9: Multirate Digital Signal Processing Prof. Eliathamby Ambikairajah Dr. Tharmarajah Thiruvaran School of Electrical Engineering &

Module 9: Multirate Digital Signal Processing Prof. Eliathamby Ambikairajah Dr. Tharmarajah Thiruvaran School of Electrical Engineering & odule 9: ultirate Digital Signal Processing Prof. Eliathamby Ambikairajah Dr. Tharmarajah Thiruvaran School of Electrical Engineering & Telecommunications The University of New South Wales Australia ultirate

More information

Experiment No. 6. Audio Tone Control Amplifier

Experiment No. 6. Audio Tone Control Amplifier Experiment No. 6. Audio Tone Control Amplifier By: Prof. Gabriel M. Rebeiz The University of Michigan EECS Dept. Ann Arbor, Michigan Goal: The goal of Experiment #6 is to build and test a tone control

More information

Synthesis: From Frequency to Time-Domain

Synthesis: From Frequency to Time-Domain Synthesis: From Frequency to Time-Domain I Synthesis is a straightforward process; it is a lot like following a recipe. I Ingredients are given by the spectrum X (f )={(X 0, 0), (X 1, f 1 ), (X 1, f 1),...,

More information

Electrical & Computer Engineering Technology

Electrical & Computer Engineering Technology Electrical & Computer Engineering Technology EET 419C Digital Signal Processing Laboratory Experiments by Masood Ejaz Experiment # 1 Quantization of Analog Signals and Calculation of Quantized noise Objective:

More information

NON-UNIFORM SIGNALING OVER BAND-LIMITED CHANNELS: A Multirate Signal Processing Approach. Omid Jahromi, ID:

NON-UNIFORM SIGNALING OVER BAND-LIMITED CHANNELS: A Multirate Signal Processing Approach. Omid Jahromi, ID: NON-UNIFORM SIGNALING OVER BAND-LIMITED CHANNELS: A Multirate Signal Processing Approach ECE 1520S DATA COMMUNICATIONS-I Final Exam Project By: Omid Jahromi, ID: 009857325 Systems Control Group, Dept.

More information

1 PeZ: Introduction. 1.1 Controls for PeZ using pezdemo. Lab 15b: FIR Filter Design and PeZ: The z, n, and O! Domains

1 PeZ: Introduction. 1.1 Controls for PeZ using pezdemo. Lab 15b: FIR Filter Design and PeZ: The z, n, and O! Domains DSP First, 2e Signal Processing First Lab 5b: FIR Filter Design and PeZ: The z, n, and O! Domains The lab report/verification will be done by filling in the last page of this handout which addresses a

More information

LABORATORY MANUAL. PROGRAMME: B.Tech SEMESTER /YEAR:VI SUBJECT CODE: BM0312 SUBJECT NAME:BIO SIGNAL PROCESSING

LABORATORY MANUAL. PROGRAMME: B.Tech SEMESTER /YEAR:VI SUBJECT CODE: BM0312 SUBJECT NAME:BIO SIGNAL PROCESSING LABORATORY MANUAL PROGRAMME: B.Tech SEMESTER /YEAR:VI SUBJECT CODE: BM0312 SUBJECT NAME:BIO SIGNAL PROCESSING Prepared By: Name: U.Snekhalatha Designation: Assistant Professor DEPARTMENT OF BIOMEDICAL

More information

EE 311 February 13 and 15, 2019 Lecture 10

EE 311 February 13 and 15, 2019 Lecture 10 EE 311 February 13 and 15, 219 Lecture 1 Figure 4.22 The top figure shows a quantized sinusoid as the darker stair stepped curve. The bottom figure shows the quantization error. The quantized signal to

More information

Problem Set 1 (Solutions are due Mon )

Problem Set 1 (Solutions are due Mon ) ECEN 242 Wireless Electronics for Communication Spring 212 1-23-12 P. Mathys Problem Set 1 (Solutions are due Mon. 1-3-12) 1 Introduction The goals of this problem set are to use Matlab to generate and

More information

EE 482 : CONTROL SYSTEMS Lab Manual

EE 482 : CONTROL SYSTEMS Lab Manual University of Bahrain College of Engineering Dept. of Electrical and Electronics Engineering EE 482 : CONTROL SYSTEMS Lab Manual Dr. Ebrahim Al-Gallaf Assistance Professor of Intelligent Control and Robotics

More information

Experiment 8 Frequency Response

Experiment 8 Frequency Response Experiment 8 Frequency Response W.T. Yeung, R.A. Cortina, and R.T. Howe UC Berkeley EE 105 Spring 2005 1.0 Objective This lab will introduce the student to frequency response of circuits. The student will

More information

Signals. Continuous valued or discrete valued Can the signal take any value or only discrete values?

Signals. Continuous valued or discrete valued Can the signal take any value or only discrete values? Signals Continuous time or discrete time Is the signal continuous or sampled in time? Continuous valued or discrete valued Can the signal take any value or only discrete values? Deterministic versus random

More information

UNIVERSITY OF WARWICK

UNIVERSITY OF WARWICK UNIVERSITY OF WARWICK School of Engineering ES905 MSc Signal Processing Module (2004) ASSIGNMENT 1 In this assignment, you will use the MATLAB package. In Part (A) you will design some FIR filters and

More information

Discretization of Continuous Controllers

Discretization of Continuous Controllers Discretization of Continuous Controllers Thao Dang VERIMAG, CNRS (France) Discretization of Continuous Controllers One way to design a computer-controlled control system is to make a continuous-time design

More information

1 Introduction and Overview

1 Introduction and Overview DSP First, 2e Lab S-0: Complex Exponentials Adding Sinusoids Signal Processing First Pre-Lab: Read the Pre-Lab and do all the exercises in the Pre-Lab section prior to attending lab. Verification: The

More information

CHAPTER 5. Additional Problems (a) The AM signal is defined by st () = A c. k a A c 1

CHAPTER 5. Additional Problems (a) The AM signal is defined by st () = A c. k a A c 1 CHAPTER 5 Additional Problems 5.7 (a) The AM signal is defined by st () A c ( + k a mt ()) cos( ω c k a A c + ------------ + t cos( ω c To obtain 5% modulation, we choose k a, which results in the modulated

More information

Lab 2: Digital Modulations

Lab 2: Digital Modulations Lab 2: Digital Modulations Due: November 1, 2018 In this lab you will use a hardware device (RTL-SDR which has a frequency range of 25 MHz 1.75 GHz) to implement a digital receiver with Quaternary Phase

More information

Laboratory 7: Active Filters

Laboratory 7: Active Filters EGR 224L - Spring 208 7. Introduction Laboratory 7: Active Filters During this lab, you are going to use data files produced by two different low-pass filters to examine MATLAB s ability to predict transfer

More information