Optimized Design of a Dual-Band Power Amplifier With SiC Varactor-Based Dynamic Load Modulation

Size: px
Start display at page:

Download "Optimized Design of a Dual-Band Power Amplifier With SiC Varactor-Based Dynamic Load Modulation"

Transcription

1 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 8, AUGUST Optimized Design of a Dual-Band Power Amplifier With SiC Varactor-Based Dynamic Load Modulation César Sánchez-Pérez, Mustafa Özen, Christer M. Andersson, Dan Kuylenstierna, Member, IEEE, Niklas Rorsman, Member, IEEE, and Christian Fager, Member, IEEE Abstract A new methodology for the design of single/multiband power amplifiers (PAs) with dynamic load modulation (DLM) is presented. First, the topology for the output matching network (OMN) including the control varactor is selected. A comprehensive optimization of the OMN parameters is then developed by which varactor and transistor losses are considered to ensure maximum efficiency enhancement at each frequency. To verify the method, a dual-band PA with DLM is realized. Drain efficiencies of 75% and 60% at 685 MHz and 1.84 GHz, respectively, are measured at peak output power. At 10-dB output power back-off efficiencies of 43.5% and 49.5%, respectively, are obtained. Linearized modulated measurements with a 6.5-dB peak-to-average power ratio WCDMA signal show average drain efficiencies of 56% and 54% at 685 MHz and 1.84 GHz, respectively, at an adjacent channel leakage ratio of 49 and 47.5 dbc, respectively. The proposed method shows the effectiveness of applying an optimization process for the design of single- or multi-band DLM PAs. The results demonstrate that near-optimum performance may be obtained in terms of efficiency enhancement for a given transistor and varactor-based OMN, thus making DLM competitive against other load modulation techniques. Index Terms Dual band, dynamic load modulation (DLM), gallium nitride (GaN), high efficiency, power amplifier (PA), silicon carbide (SiC), tunable matching network, varactor. I. INTRODUCTION T HE increasing demand for higher data rates in mobile communications is pushing a quick development and deployment of standards like long-term evolution (LTE). The complex modulation schemes used in these standards lead to high values of peak-to-average powerratio(papr)inthetransmitted signals. Furthermore, there exists an important spectrum fragmentation, e.g., the LTE frequency bands are allocated from 700 MHz up to 2700 MHz. This situation constitutes a problem for the design of efficient high power amplifiers (PAs). Manuscript received October 28, 2014; revised April 06, 2015 and May 16, 2015; accepted May 22, Date of publication June 23, 2015; date of current version August 04, This work was supported in part by the Swedish Governmental Agency for Innovation Systems (VINNOVA). C. Sánchez-Pérez was with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE Göteborg, Sweden. He is now with Qamcom Research and Technology AB, Göteborg, Sweden ( cesar.sanchez@qamcom.se). M. Özen, D. Kuylenstierna, N. Rorsman, and C. Fager are with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE Göteborg, Sweden. ( christian.fager@chalmers.se). C. M. Andersson is with the Information Technology Research and Development Center, Mitsubishi Electric Corporation, Kamakura, Japan. Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TMTT The use of broadband or multi-band approaches is therefore highly desired to reduce transmitter complexity and cost. In this context, the use of dual-band PAs that can efficiently amplify signals with large PAPR is gaining a lot of attention [1], [2]. Various efficiency enhanced PA architectures have been proposed, mainly envelope-tracking (ET) [3] [5], outphasing [6], [7], and especially Doherty [8] [10]. Doherty and outphasing architectures are inherently narrowband and significant efforts have therefore been made to develop broadband or dual/multiband designs. Dual-band and multi-band Doherty PAs with excellent performance have been recently presented [1], [2], as well as broadband Doherty/outphasing transmitters [11]. As an alternative to those PA architectures, varactor-based dynamic load modulation (DLM) has been successfully explored in recent years [12] [19]. The single-band varactor-based DLM PA, including linearization, has been successfully demonstrated at gigahertz frequencies [16] and high output power levels [19]. A few examples of broadband/multiband DLM PAs can also be found. In [13], a multi-band 0.9/1.8/1.9/2.1-GHz PA was presented. However, the peak and back-off efficiency at the lower frequency band are low and the output power is limited to 28 dbm. Moreover, it makes use of two control varactors, which complicates the design and the operation with modulated signals. A recent paper by Chen and Peroulis [17] showed a 10-W broadband GHz DLM PA approach with excellent peak and back-off efficiency performance. However, the drain bias was reconfigured versus frequency and the power utilization factor is low since a 25-W transistor was used. Furthermore, no modulated measurements or linearization of the transmitter are included in that paper. DLM PAs should, theoretically, offer the same efficiency enhancement as Doherty or any other load-modulation-based PA architecture. The DLM PA efficiency enhancement is, however, limited by varactor losses and nonoptimum load trajectory realization [15]. Additionally, for broadband or multi-band implementations, the output matching network (OMN) design gets even more complicated, especially if second harmonic terminations are also considered. These problems have made DLM PAs not competitive against other architectures like Doherty PAs. In this paper, we present a generic procedure for realization of highly efficient single-band, multi-band, or broadband DLM PAs. In contrast to previous work, a comprehensive optimization procedure is proposed, which allows the joint performance of the transistor and varactors to be exploited, independent of the OMN topology or varactor technology used. The optimization is based on linear simulations and is therefore very fast compared to using harmonic-balance simulations. To verify the method, IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

2 2580 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 8, AUGUST 2015 a dual-band silicon carbide (SiC) varactor-based DLM PA is designed and built. Both static and digital pre-distortion (DPD) linearized measurements are presented, demonstrating excellent results that validate the proposed methodology. This paper is organized as follows. Section II describes the generic design methodology in detail. Section III is focused on the specific dual-band DLM PA design. Static and modulated measurements are presented in Section IV. Conclusions are finally summarized in Section V. II. DESIGN METHODOLOGY The first step of the DLM design methodology is to characterize the transistor under different fundamental and second harmonic load impedance conditions. Thereafter, the topology of the OMN including the varactors is selected and an optimization process is carried out to maximize the average efficiency at the different frequencies. This optimization process relies on simple linear calculations. Using the load pull (LP) transistor characterization data and the linear calculations it is possible to predict the average efficiency of the DLM PA, using the probability density function (PDF) of a modulated signal, at the different frequencies. This outcome is used as the fitness function by the optimization algorithm. As a final result, the OMN features to be optimized, e.g., component values and/or transmission-line dimensions, are returned. This method can be used for single-band designs or more complex multi-band designs. Increasing the number of bands brings, of course, more complexity and tradeoffs. A. Transistor Characterization As a starting point for the design and optimization process, transistor characterization based on LP simulations (alternatively measurements) are carried out to determine the optimum load trajectories [15]. Without loss of generality, LP simulation data is used to describe the design methodology. It should be mentioned that the results of these LP simulations correspond to ideal lossless impedances presented at the drain extrinsic plane of the transistor. Hence, these results represent an upper bound of the maximum performance that can be achieved. Input power is swept for a dense set of first and second harmonic impedance combinations at each carrier frequency.a set of 1406 fundamental load impedances and 48 second harmonic impedances, making a total of different combinations are simulated. For the fundamental load impedances, just the upper part (inductive region) of the Smith chart is considered since it is well known that the optimum trajectory will be located there. For the second harmonic terminations, a less dense set covering the entire Smith chart is considered. A table containing output power and dc-power consumption is saved for later use. This table is indexed by the independent variables,, representing the fundamental and second harmonic load reflection coefficients for a given carrier frequency.accessing an arbitrary index of the LP table returns the complete input power sweep for the dc power and output power at the corresponding impedance terminations. As an example, LP simulations of a 15-W bare die GaN HEMT (CGH60015D) transistor from Cree Inc. biased in class B( V, V) are performed. Fig. 1(a) shows the optimum fundamental load trajectory and second harmonic impedance for different output power levels to achieve the best power-added efficiency (PAE) at 700 MHz. It should be noted that other load trajectories can be followed with just a small decrease in efficiency performance. Compared to an ideal class-b PA without load modulation, the results of Fig. 1(b) show that a great efficiency improvement in back-off can be obtained by properly tuning the fundamental and second harmonic load impedance for different power levels. These efficiency values correspond to the transistor extrinsic drain plane. When a real OMN with loss is considered for the DLM PA realization, these efficiency values are reduced significantly. The same general behavior in terms of the load trajectories and associated PAE can be observed at other frequencies. B. OMN Topology The selection of the OMN topology constitutes an important step in the design process. Although the topology itself is independent of the optimization process, the final performance will be highly dependent on it. For this reason, the selected topology should have good potential for multi/broad-band matching, be easy to simulate, and be able to handle the transistor RF output power without reaching the varactor breakdown voltage or forward conducting regions. An overview of different varactor-based matching networks topologies can be found in the literature [13], [20]. A flexible solution that has successfully been used in a similar tunable matching applications is a double-stub tuner [21]. To simplify the tuning process and the complexity of the PA, just one of the stubs will be loaded with varactors while the other will remain open circuited (see Fig. 2). Preliminary simulations showed significant efficiency advantages if the varactor was located closer to the transistor. Hence, the open stub is placed between the varactor-stub and the PA output. The basic schematic of the OMN topology can be seen in Fig. 2. In this figure, the bond-wire connection ( ), the dc-blocking ( ) capacitor, and the RF choke ( ) are also included. There are five transmission lines (, with ) with electrical lengths and characteristic impedance, which will be the object of the optimization process, as will be explained later in this section. C. Optimization Process The OMN design becomes complex when the objective is to accomplish a generic multi-band DLM PA controlling the load trajectories and impedance terminations at several frequencies. Analytical solutions are therefore unfeasible and we instead propose the use of an optimization process where output result are the OMN features, e.g., component values or transmission-line dimensions. Without loss of generality, the OMN topology described in Section II-B is considered. In this case, the optimization process for a generic -band design is formulated as follows: search for the optimal physical dimensions (width and length) of the five transmission lines,,with, in the OMN (Fig. 2) so the estimated average efficiency (using a modulated signal) of the PA at, is maximized.

3 SÁNCHEZ-PÉREZ et al.: OPTIMIZED DESIGN OF DUAL-BAND PA WITH SiC VARACTOR-BASED DLM 2581 Fig. 1. Simulation of the fundamental optimum load impedance trajectory and associated efficiency at 700 MHz when the second harmonic impedance is open circuit. Efficiency results are compared to ideal class-b PA with same output power level. (a) Fundamental load impedance trajectory with the corresponding output power levels and second harmonic termination ( ). (b) PAE corresponding to impedances shown in Fig. 1(a). As a reference, the theoretical class-b efficiency for the same output power is included. Fig. 2. Schematic of the PA OMN including the varactors. The optimization process only involves linear simulations of the OMN. For the varactors, a simple linear model with a variable capacitance dependent on the bias voltage is used. The specific equation, which describes the capacitance in our varactor model, is where,,and are technology-dependent constants. This approach assumes that the varactor capacitance is independent of the RF voltage swing across the varactor. This assumption is motivated by the fact that anti-series varactor configuration helps to reduce the capacitance variation due to the RF voltage swing across the varactor [22]. The varactor model also includes all relevant parasitics to have accurate prediction of the losses [23]. Realistic models for the transmission lines, including conductor and dielectric losses, are also used [24]. Scattering parameter data for other passive components (capacitors and inductors) are also utilized. The fact that the optimization process relies on linear simulations enables a significant speed increase (1) compared to harmonic-balance simulations. In this way, thousands of OMN parameter settings can be quickly evaluated on a regular computer. Different optimization algorithms can be used to solve this problem. In this work, a genetic algorithm (GA) is chosen, as such algorithms have been widely studied and successfully applied in many fields in engineering, e.g., in electromagnetics [25], but also in microwave circuits such as tunable matching network design [26]. A flowchart representation of the optimization process can be seen in Fig. 3. The evaluation process of a possible solution at a single frequency is performed as follows. The parameters of interest are the reflection coefficients at the fundamental and second harmonic,, the power gain,, as well as the voltage levels across the varactors. These values are calculated in Step 1 and Step 2 of the flowchart in Fig. 3 solving the voltage and currents at the nodes of the circuit. The reflection coefficients and power gain are power ratios so they can be obtained by simply assuming a sinusoidal source, first at the frequency and then at its second harmonic,. For the calculation of the varactor voltage levels, we assume a sinusoidal source at,whichdelivers the same power as the transistor would deliver when operating close to saturation. This condition imposes the worst case scenario in terms of RF voltage swing across the varactors. Although this assumption overestimates the voltage across the varactors, it is also true that the nonlinear effects from the varactors are not considered. Comparing this simplified simulations to harmonic-balance simulations, it appears that this assumption is good enough. The main difference will instead appear in the optimum varactor control voltage versus output power. However, this is of less importance since the final control voltage settings are determined experimentally from static measurements. In Step 3, the reflection coefficients obtained from the evaluation of the OMN during optimization are used to index the LP

4 2582 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 8, AUGUST 2015 a new generation is created and the process starts over with Step 1. III. DUAL-BAND DLM PA PROTOTYPE Fig. 3. Flowchart representing schematically the optimization process. data tables saved during the transistor characterization. From the closest entry in the table, a complete input power sweep in terms of dc and output power,,, can be retrieved. The process of accesing the LP data tables is repeated for each varactor bias voltage. With this data, an estimation of the drain efficiency for the different bias voltages can be calculated at each frequency as where accounts for the OMN losses. Note that in (2), the dependence with the reflection coefficients, frequency, and input power is excluded for clarity. In Step 4, the RF voltage swing across the varactors, whose calculation was described in Step 2, is checked. The solutions, which produce diode forward conduction or reverse breakdown, are discarded. In this way it is ensured that the varactors tolerate the power levels safely. Furthermore, to avoid possible solutions with good efficiency values, but low output power, constrains on the minimum output power are imposed. Solutions that do not reach this minimum power level are set to zero efficiency so the GA can discard such solutions. In Step 5, average efficiency, denoted as, is calculated using the PDF of a modulated signal, together with the efficiencies obtained from (2). It should be noted that one efficiency curve exists for every varactor bias voltage considered. The best efficiency values considering all these curves are used to calculate the average efficiency. The whole process is carried out for all carrier frequencies. After that, a final fitness function is calculated. The fitness function is usually and equally weighted average of the efficiencies obtained at each single frequency. The optimization will stop either when a given efficiency target is satisfied or when the maximum number of allowed iterations is reached. Otherwise, (2) A. Optimization of a 700/1900-MHz DLM PA To prove the validity of the proposed method, a dual-band DLM PA design is considered. The selected frequencies, and, are arbitrarily set to 700 MHz and 1.9 GHz. A 15-W bare die GaN HEMT (CGH60015D) transistor from Cree Inc. is used. The targeted output power is constrained to be at least 40 dbm. The tuning components for the OMN are Chalmers 14-finger SiC varactors [27]. Those devices feature a maximum capacitance of 11 pf, a tuning range around 6, and a quality factor of around 40 at 1 GHz and 0-V biasing. The tuning is not as abrupt as in typical Si varactors, which, together with a high breakdown voltage ( 100 V) make them highly suitable for highpower applications. Their excellent performance has already been demonstrated in class-e pulse-width modulation [28] and class-j DLM [18] PAs. Similar to previous DLM PAs, this work uses an anti-series configuration to improve the power handling [29]. The parameters shown in (1) for the SiC varactor correspond to pf, pf, and V. The rest of the varactor parasitics are described in [23]. The OMN topology used is the one represented in Fig. 2. Transmission lines with are subject to the optimization process. This makes it ten parameters (width and length of each ) to be optimized. The topology also includes a dc-blocking ATC (American Technical Ceramics Corporation) capacitor of 20 pf and a 82-nH Coilcraft square air-core inductor providing high impedance at both carrier frequencies. The bondwire connection to the transistor drain is estimated to 0.15 nh. These elements are not subject to optimization and are considered fixed. The steps described in Section II-C are now applied to this design. Since it is a dual-band design, each time a possible solution is evaluated, two values are returned: the average efficiencies and. These two values could be equally weighted and used as input to the GA to create the next generation. Another option suited to this dual-band case is to use a multi-objective GA. In this case, the GA returns not only a single solution, but a set of solutions called the Pareto front [30]. The Pareto front represents a set of possible solutions in the ( -axis), ( -axis) plane among which it is not possible to improve the average efficiency at one frequency without decreasing it in the other. Fig. 4 shows the result of the multi-objective GA. The -axis shows the average efficiency at and the -axis at. All the plotted crosses ( ) correspond to possible solutions. The Pareto front is represented by the outer boundary of possible solutions. We could, for instance, choose a solution with efficiency above 50% at, but the price to pay is a decrease on the efficiency at, which would be lower than 42%. The solution that is finally selected in this work corresponds to efficiencies of 46.7% and 45.7% at and, respectively. It should be noted that when many different solutions are clustered in small areas, the difference in the optimized parameters is usually small. The chosen solution consists of the transmission-line

5 SÁNCHEZ-PÉREZ et al.: OPTIMIZED DESIGN OF DUAL-BAND PA WITH SiC VARACTOR-BASED DLM 2583 Fig. 4. Solutions returned by the GA multi-objective together with the Pareto front. The final selected solution is also indicated. Fig. 5. Load trajectories when sweeping the varactor bias voltage from 0 to 70 V after the optimization process and ADS simulations ( ). Solid lines represent the ADS layout-ready large-signal load trajectories, dashed lines represent the load trajectories obtained in the linear calculations during the optimization process. The solid circles on the traces represent the sweep starting point (0-V varactor voltage). (a) 700 MHz. (b) 1.9 GHz. TABLE I COMPARISON OF TRANSMISSION-LINE DIMENSIONS AFTER THE OPTIMIZATION PROCESS AND THOSE FINALLY IMPLEMENTED IN THE PROTOTYPE Fig. 6. PA input matching network. values in Table I listed together with the values of the final prototype layout. Differences in values are mainly related to post-ga fine tuning of the OMN using harmonic balance to compensate for the microstrip T-junction, varactor bias network, and nonlinear effects from the varactors, which where not included in the optimization process. B. OMN Design Finalization The optimization process returns the physical dimensions of the PA OMN. This result serves as the input for the rest of the PA design carried out using Agilent Technologies Advanced Design System (ADS). From this point on, a nonlinear model for the SiC varactors, as well as the proprietary transistor model from Cree Inc. (used to generate the LP data) are used in harmonic-balance simulations to evaluate the complete PA performance. First, the layout of the OMN is finalized. After adding the required microstrip T junctions and varactor bias inductors, a tuning process is carried out to compensate for them. Even after this post-optimization process, the values of the OMN transmission lines are quite close to the ones returned by the GA optimization process. Fig. 5 shows the fundamental and second harmonic load trajectories at the two frequency bands for the linear calculations of the optimization process and for the nonlinear ADS simulations. The agreement is good, especially for the fundamental harmonic trajectory. Some discrepancy appears for the second harmonic trajectories. However, no significant reduction in efficiency is expected due to this difference. Comparing the obtained load trajectory to that shown in Fig. 1(a), a significant difference can be observed. The reason is that the load trajectory presented in Fig. 1(a) is obtained assuming lossless impedances presented at the intrinsic drain of the transistor, while the trajectories shown in Fig. 5 consider the real and lossy OMN realization obtained through the optimization process. Furthermore, since a dual-band design is considered, a tradeoff between the two load trajectories exists. The trajectories of Fig. 5 represent the optimum solution given the constrains, i.e., the dual-band design and the real lossy OMN. C. Input Matching A fixed dual-band matching network is designed to ensure a good input matching at both frequency bands. Since the input impedance variation with the output match tuning is not very significant, a fixed solution is preferred to reduce complexity. The designed dual-band input matching network is presented in Fig. 6. A stabilization network comprising a parallel R C and the resistor for the gate bias ensures unconditional stability along the whole frequency range. An 18-pF ATC dc-blocking capacitor is used, together with a double stub topology ( ), which ensures good matching at both frequencies. The bondwire connection to the gate of the transistor is fixed in the design to 0.5 nh and is implemented using two gold bond wires each with a length of approximately 1 mm tor.

6 2584 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 8, AUGUST 2015 Fig. 7. Simulated drain efficiency at 700 MHz and 1.9 GHz for the layout-ready PA. Input powers from 10 to 32 dbm and varactor bias voltages from 1 to 73 V are swept to obtain these cloud of points. (a) 700 MHz. (b) 1.9 GHz. Fig. 9. Measurements showing maximum output power, maximum drain efficiency, and drain efficiency at 6- and 9-dB output back-off for different frequencies. (a) Low-frequency band. (b) High-frequency band. Fig. 8. Dual-band DLM PA prototype (221 mm 65 mm). A zoom-in of the varactors is also shown. D. Efficiency Simulations Fig. 7 shows the layout-ready PA drain efficiency simulations at both frequencies when the input power is swept from 10 to 32 dbm and varactor bias voltage from 1 to 73 V. Hence, each of these points in the figure corresponds to a specific combination of input power and varactor bias voltage. By an optimized co-control of the varactor voltage and input power, it is possible to track the envelope of this cloud of points to maintain high instantaneous efficiency. Output powers of 40 and 42 dbm are obtained at 700 MHz and 1.9 GHz, respectively. The effect of load modulation is clearly seen translating into efficiencies close to 50% at 8-dB output power back-off (OPBO) at both frequencies. Finally, the dual-band DLM PA prototype is built using Rogers 4350B (, )substratesona brass fixture with dimensions of 221 mm 65 mm. The two anti-series SiC varactors with size of 0.4 mm 0.7 mm are bonded to the fixture and the printed circuit board (PCB). Note that in contrast to other DLM PAs with similar power levels, which tend to stack a larger number of varactors, this design can handle the power levels with two. This is a consequence of both the good properties of SiC varactors and the optimization process. A photograph of the DLM PA prototype is shown in Fig. 8. IV. MEASUREMENT RESULTS A. Static Measurements Static characterization of the PA is initially performed under continuous wave (CW) conditions. Varactor voltage is swept from0to72v,afterwhichthereisnomoreefficiencyenhancement. The SiC varactors are reversely biased, and as a consequence, the consumption is negligible and does not affect the efficiency results. Input power is swept for every varactor bias voltage until the forward gate current reaches 2 ma or the gain is compressed 3 db. The transistor is biased in class Bwith V. Input and delivered output power levels are measured with couplers and power meters. Low-pass filters, different for each frequency band, are used at the PA output. Drain efficiency and PAE are calculated for every input power and varactor voltage combination. Initially, different frequencies are measured around the intended low- and high-frequency bands, i.e., near 700 MHz and 1.9 GHz. Fig. 9 shows the maximum drain efficiency and output power together with the efficiencies at 6 and 9 db of OPBO for the two bands. In the lower frequency band, a peak efficiency of 80% is obtained at 700 MHz, with the output power level higher than 41 dbm. However, at this specific frequency, although 45% of efficiency is achieved at 9-dB OPBO, the load modulation is not optimum. Moving to slightly lower frequencies, e.g., at 685 MHz, a significant improvement is observed. At this frequency, nearly 50% efficiency at 9-dB OPBO, with a limited sacrifice in output power and peak efficiency, is obtained. At the higher frequency band, this effect is even more noticeable. Moving from 1.9 to 1.84 GHz, the peak efficiency decreases slightly, but the efficiency in back-off improves dramatically, with almost 55% at 9-dB OPBO. The results presented will henceforth relate to 685MHzand1.84GHz. Fig. 10 shows the static measurements at both 685 MHz and 1.84 GHz. Together with all the cloud of points corresponding to the combined input power and varactor voltage tested, the maximum achievable drain efficiency is depicted. At 685 MHz, a maximum output power of 41 dbm is obtained, with a peak efficiency better than 75%. The back-off performance is good, keeping an efficiency close to 45% at 10-dB OPBO. The advantage of modulating the varactor voltage compared to keeping it fixed is clear with a reduction of almost 42% of dc-power consumption at 8-dB OPBO. At 1.84 GHz, a maximum output power of 42 dbm is obtained with a peak efficiency slightly above 60%. The back-off efficiency is kept flat, around 60% for almost 8 db of dynamic range, and it is reduced to around 50% at 10-dB OPBO. Again, comparing to a fixed varactor bias voltage for maximum output power, reduction in dc-power consumption is close to 60% at 8-dB OPBO. A similar representation for the gain, including the gain trajectory for the best achievable efficiency, is shown in Fig. 11. The gain at 1.84 GHz is

7 SÁNCHEZ-PÉREZ et al.: OPTIMIZED DESIGN OF DUAL-BAND PA WITH SiC VARACTOR-BASED DLM 2585 Fig. 10. Drain efficiency measurements at 685 MHz and 1.84 GHz. All the combinations of input power and varactor voltage are depicted as dots. The varactor voltage has been swept from 0 to 72 V in 2-V steps. The best achievable efficiency is also indicated with a red line (in online version) with circle markers. Efficiency for the fixed varactor voltage leading to maximum output power is represented with a blue trace (in online version). (a) 685 MHz. (b) 1.84 GHz. Fig. 13. Best drain efficiency predictions from simulations compared to measurements at both frequencies. (a) 685 MHz. (b) 1.84 GHz. Fig. 11. Measured gain at 685 MHz and 1.84 GHz. All the combinations of input power and varactor voltage are depicted as dots. The varactor voltagehas been swept from 0 to 72 V in 2-V steps. The gain for the best achievable efficiency is also indicated with a red line (in online version) with circle markers. (a) 685 MHz. (b) 1.84 GHz. Fig. 14. Optimum varactor voltage control function to achieve the highest efficiency. The control functions at both frequencies are shown. Fig. 12. Measured and simulated PA input return losses. lower than predicted in simulations, which will have an impact on the PAE at this frequency. Small-signal measured and simulated input return losses are also shown in Fig. 12. Although the measured input return loss at this frequency is not as good as in simulations, it may not fully explain the gain reduction observed. Therefore, we believe that the second harmonic input impedance detuning is causing the decrease of the gain at this frequency. A comparison of the best achievable drain efficiency between the simulations and CW measurements is presented in Fig. 13. Good agreement is observed between the curves at both frequencies, although simulations tend to underestimate the output power at both frequencies. Despite this fact, the efficiency in back-off is well predicted, which validates both the varactor and the transistor model used in the simulation process. Finally, the varactor voltage control function at the two frequencies is presented in Fig. 14. Similar trends are observed at both frequencies starting with a high varactor voltage, 70 V, at low output powers and then decreasing down to around 5 10 V when the PA is saturated. In contrast to most of the published DLM PAs, where the varactor voltage control increases with the output power, this work exhibits a decreasing control function. This has two implications: first, the voltage swing is high at low bias voltages. The use of nonabrupt SiC varactors is essential to make the PA work under these conditions. Second, the varactors are being operated at their highest quality factor in back-off. This explains the good efficiency enhancement at large back-off levels. The optimization process is ultimately responsible of both results since it tries to maximize the average efficiency while keeping the voltage across the varactors within the limits.

8 2586 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 8, AUGUST 2015 TABLE II STATE-OF-THE-ART VARACTOR-BASED DLM PA TABLE III PERFORMANCE COMPARISON OF OTHER PUBLISHED DUAL/MULTI-BAND PAs (NONCONCURRENT MODE) Fig. 15. Block diagram of the DPD linearization scheme used. Table II compares the static performance of this dual-band DLM PA with other published varactor-based DLM PAs. Efficiency at back-off of this PA (6 and 10 db) outperforms any other published DLM PA, despite most of them actually being single-band designs. B. Linearized Modulated Measurements Modulated measurements were also performed at both bands in order to assess the performance of the PA under realistic dynamic operating conditions. Specifically, a 3.84-MHz 6.5-dB PAPR WCDMA signal was used. The experimental setup and linearization approach used resembles the same as those in [31]. A block diagram of the digital linearization scheme used is shown in Fig. 15. From the linearization perspective, the DLM PA is considered as a single-input single-output system. An indirect learning architecture is used, whereby the DPD model parameters are identified by comparing the desired input signal with the measured output signal. Efficiency optimized input signals,, are calculated from the pre-distorted input signal using the static inverse model of the DLM PA. The static inverse model at each carrier frequency is constructed from the corresponding measurement data shown in Fig. 14. A vector-switched generalized memory polynomial (VS-GMP) behavioral model is used for the DPD linearization Fig. 16. PA output signal spectra with and without DPD for both frequencies. (a) 685 MHz. (b) 1.84 GHz. [32]. The VS-GMP model quantizes the input signal according to its amplitude into a number of regions. The output is computed by using separate models corresponding to each region. In this PA, the load is modulated for a limited range of output signal amplitudes. The input output relation thus has two distinct operating regions, which makes the VS-GMP very suited for behavioral modeling of these kind of architectures. The measured output power spectrum before and after DPD linearization are shown in Fig. 16. The VS-GMP suppresses the ACLR below 47.5 dbc in both bands while maintaining high average efficiency and output power. Average efficiencies of 56.2% and 53.2% were measured at 685 MHz and 1.84 GHz, respectively. A comparison with other dual/multi-band PA architectures under nonconcurrent operation is shown in Table III. The performance in terms of efficiency and ACLR levels for the DLM PA is among the best published. These results indicate that the DLM PA concept is an interesting candidate for the realization of dual/multi-band transmitters.

9 SÁNCHEZ-PÉREZ et al.: OPTIMIZED DESIGN OF DUAL-BAND PA WITH SiC VARACTOR-BASED DLM 2587 V. CONCLUSIONS A new powerful approach for generic design of single and multi-band DLM PAs has been presented. Using simple linear simulations and transistor LP data, it is possible to optimize a given OMN topology to achieve near-optimum load trajectories at several frequency bands. To verify the method, a dual-band PA with DLM has been built. The prototype features excellent results, especially in terms of efficiency at large ( 8dB) OPBO. Modulated measurements show average efficiencies of 56% and 54% at 685 MHz and 1.84 GHz, respectively, with adjacent channel leakage ratio (ACLR) levels below 47.5 for both bands. These results show that DLM PAs can be a competitive efficiency enhancement technique even when compared with other widespread techniques like Doherty PAs. ACKNOWLEDGMENT This work was carried out in part at the GigaHertz Centre, Chalmers Institute of Technology, Göteborg, Sweden. REFERENCES [1] P. Saad, P. Colantonio, L. Piazzon, F. Giannini, K. Andersson, and C. Fager, Design of a concurrent dual-band GHz GaN-HEMT Doherty power amplifier, IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp , Jun [2] X.A.Nghiem,J.Guan,T.Hone,andR.Negra, Designofconcurrent multiband Doherty power amplifiers for wireless applications, IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp , Dec [3] J. Jeong et al., High-efficiency WCDMA envelope tracking base-station amplifier implemented with GaAs HVHBTs, IEEE J. Solid-State Circuits, vol. 44, no. 10, pp , Oct [4] A. Cidronali, N. Giovannelli, T. Vlasits, R. Hernaman, and G. Manes, A 240 W dual-band 870 and 2140 MHz envelope tracking GaN PA designed by a probability distribution conscious approach, in IEEE MTT-SInt.Microw.Symp.Dig.,2011. [5] J. Hoversten, S. Schafer, M. Roberg, M. Norris, D. Maksimovic, and Z. Popović, Codesign of PA, supply, and signal processing for linear supply-modulated RF transmitters, IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp , Jun [6] J.H.Qureshiet al., A 90-W peak power GaN outphasing amplifier with optimum input signal conditioning, IEEE Trans. Microw. Theory Techn., vol. 57, no. 8, pp , Aug [7] D. A. Calvillo-Cortes et al., A package-integrated chireix outphasing RF switch-mode high-power amplifier, IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp , Oct [8] M. Pelk, W. Neo, J. Gajadharsing, R. Pengelly, and L. de Vreede, A high-efficiency 100 W GaN three-way Doherty amplifier for basestation applications, IEEE Trans. Microw. Theory Techn., vol. 56, no. 7, pp , Jul [9] D. Gustafsson, C. M. Andersson, and C. Fager, A modified Doherty power amplifier with extended bandwidth and reconfigurable efficiency, IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp , Jan [10] M. Özen and C. Fager, Symmetrical Doherty amplifier with high efficiency over large output power dynamic range, in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp [11] C. M. Andersson, D. Gustafsson, J. Chani Cahuana, R. Hellberg, and C. Fager, A 1 3-GHz digitally controlled dual-rf input power-amplifier design based on a Doherty-outphasing continuum analysis, IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp , Oct [12] F. H. Raab, High-efficiency linear amplification by dynamic load modulation, in IEEEMTT-SInt.Microw.Symp.Dig.,Jun.8 13, 2003, vol. 3, pp [13] W. C. E. Neo et al., Adaptive multi-band multi-mode power amplifier using integrated varactor-based tunable matching networks, IEEE J. Solid-State Circuits, vol. 41, no. 9, pp , Sep [14] J.-F. Fu and A. Mortazawi, Improving power amplifier efficiency and linearity using a dynamically controlled tunable matching network, IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp , Dec [15] H. M. Nemati, C. Fager, U. Gustavsson, R. Jos, and H. Zirath, Design of varactor-based tunable matching networks for dynamic load modulation of high power amplifiers, IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, pp , May [16] H. M. Nemati, H. Cao, B. Almgren, T. Eriksson, and C. Fager, Design of highly efficient load modulation transmitter for wideband cellular applications, IEEE Trans. Microw. Theory Techn., vol. 58, no. 11, pp , Nov [17] K. Chen and D. Peroulis, Design of adaptive highly efficient GaN power amplifier for octave-bandwidth application and dynamic load modulation, IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp , Jun [18] C. Andersson et al., Theory and design of class-j power amplifiers with dynamic load modulation, IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp , Dec [19] C. M. Andersson et al., A packaged 86 W GaN transmitter with SiC varactor-based dynamic load modulation, in Proc.Eur.Microw. Conf., 2013, pp [20] C. Hoarau, N. Corrao, J. D. Arnould, P. Ferrari, and P. Xavier, Complete design and measurement methodology for a tunable RF impedance-matching network, IEEE Trans. Microw. Theory Techn., vol. 56, no. 11, pp , Nov [21] J. Papapolymerou, K. L. Lange, C. L. Goldsmith, A. Malczewski, and J. Kleber, Reconfigurable double-stub tuners using MEMS switches for intelligent RF front-ends, IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp , Jan [22] K. Buisman, C. Huang, P. J. Zampardi, and L. C. N. de Vreede, RF power insensitive varactors, IEEE Microw. Wireless Compon. Lett., vol. 22, no. 8, pp , Aug [23] M. Özen et al., High efficiency RF pulse width modulation with tunable load network class-e PA, in Proc. IEEE 12th Annu. Wireless Microw. Technol. Conf., 2011, pp [24] E. Hammerstad and O. Jensen, Accurate models for microstrip computer-aided design, in IEEEMTT-SInt.Microw.Symp.Dig., Jun. 1980, pp [25] J. M. Johnson and V. Rahmat-Samii, Genetic algorithms in engineering electromagnetics, IEEE Antennas Propag. Mag., vol. 39, no. 4, pp. 7 21, Aug [26] C. Sanchez-Perez, J. de Mingo, P. L. Carro, and P. Garcia-Ducar, Design and applications of a MHz tunable matching network, IEEE J. Emerg. Sel. Topics Circuits Syst., vol. 3, no. 4, pp , Dec [27] C. M. Andersson et al., A SiC varactor with large effective tuning range for microwave power applications, IEEE Electron Device Lett., vol. 32, no. 6, pp , Jun [28] M.Özen,R.Jos,C.M.Andersson,M.Acar,andC.Fager, High-efficiency RF pulsewidth modulation of class-e power amplifiers, IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp , Nov [29] K. Buisman et al., Distortion-free varactor diode topologies for RF adaptivity, in IEEEMTT-SInt.Microw.Symp.Dig., Jun [30] A. Konak, D. W. Coit, and A. E. Smith, Multi-objective optimization using genetic algorithms: A tutorial, Rel. Eng. Syst. Safety, vol. 91, pp , [31] M. Özen, C. Andersson, T. Eriksson, M. Acar, R. Jos, and C. Fager, Linearization study of a highly efficient CMOS-GaN RF pulse width modulation based transmitter, in 42nd Eur. Microw. Conf., 2012, pp [32] S. Afsardoost, T. Eriksson, and C. Fager, Digital predistortion using a vector-switched model, IEEE Trans. Microw. Theory Techn., vol. 60, no. 4, pp , Apr César Sánchez-Pérez received the Ph.D. degree from the University of Zaragoza, Zaragoza, Spain in From September 2012 to December 2014, he was a Postdoc with the Microwave Electronics Laboratory, Chalmers University of Technology, Göteborg, Sweden. Since January 2015, he has been an RF/Microwave Specialist with Qamcom Research and Technology AB, Göteborg, Sweden. His research interests include wireless communications systems with an emphasis on tunable matching networks and high-efficiency transmitters.

10 2588 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 8, AUGUST 2015 Mustafa Özen received the Ph.D. degree from the Chalmers University of Technology, Göteborg, Sweden, in He is currently with the GigaHertz Center, Chalmers University of Technology. His research interests are switch-mode PAs and digital transmitter architectures. His research interests are in the areas of power amplifier efficiency enhancement techniques and digital transmitter architectures. Dr. Özen was the recipient of the 2011 Best Paper Award of the IEEE Wireless and Microwave Technology Conference. Christer M. Andersson receivedthem.sc.degree in engineering nanoscience from Lund University, Lund, Sweden, in 2009, and the Ph.D. degree in microwave electronics from the Chalmers University of Technology, Göteborg, Sweden, in Since 2013, he has been a member of the Amplifier Group, Information Technology Research and Development Center, Mitsubishi Electric Corporation, Kamakura, Japan. His main research interests are wide bandgap devices, compact modeling, and the design of high-efficiency power amplifiers. Niklas Rorsman (M 10) received the M.Sc. degree in engineering physics and Ph.D. degree in electrical engineering from the Chalmers University of Technology, Göteborg, Sweden, in 1988 and 1995, respectively. In 1998, he joined the Chalmers University of Technology, where he currently leads the microwave wide bandgap technology activities and the investigation of the application of graphene in microwave electronics. Christian Fager (S 98 M 03) received the M.Sc. and Ph.D. degrees in electrical engineering and microwave electronics from the Chalmers University of Technology, Göteborg, Sweden, in 1998 and 2003, respectively. He is currently an Associate Professor with the Microwave Electronics Laboratory, Chalmers University of Technology. He has authored or coauthored more than 100 papers in international journals and conferences. His research interests include the design and modeling of linear and energy-efficient transmitters for future wireless systems. Dr. Fager is currently an associate editor for IEEE Microwave Magazine.He was the recipient of the 2002 Best Student Paper Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). Dan Kuylenstierna (S 04 M 07) was born in Göteborg, Sweden, in He received the M.Sc. degree in engineering physics and Ph.D. degree in microtechnology and nanoscience from the Chalmers University of Technology, Göteborg, Sweden, in 2001 and 2007, respectively. He is currently an Associate professor with the Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology. His main scientific interests are monolithic microwave integrated circuit (MMIC) design, reconfigurable circuits, frequency generation, and phase-noise metrology. Dr. Kuylenstierna was a recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship Award in 2005.

Energy Efficient Transmitters for Future Wireless Applications

Energy Efficient Transmitters for Future Wireless Applications Energy Efficient Transmitters for Future Wireless Applications Christian Fager christian.fager@chalmers.se C E N T R E Microwave Electronics Laboratory Department of Microtechnology and Nanoscience Chalmers

More information

A highly efficient 3.5 GHz inverse class-f GaN HEMT power amplifier

A highly efficient 3.5 GHz inverse class-f GaN HEMT power amplifier International Journal of Microwave and Wireless Technologies, 2010, 2(3-4), 317 324. # Cambridge University Press and the European Microwave Association, 2010 doi:10.1017/s1759078710000395 A highly efficient

More information

Switching Behavior of Class-E Power Amplifier and Its Operation Above Maximum Frequency

Switching Behavior of Class-E Power Amplifier and Its Operation Above Maximum Frequency Switching Behavior of Class-E Power Amplifier and Its Operation Above Maximum Frequency Seunghoon Jee, Junghwan Moon, Student Member, IEEE, Jungjoon Kim, Junghwan Son, and Bumman Kim, Fellow, IEEE Abstract

More information

An RF-input outphasing power amplifier with RF signal decomposition network

An RF-input outphasing power amplifier with RF signal decomposition network An RF-input outphasing power amplifier with RF signal decomposition network The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

A 2 4 GHz Octave Bandwidth GaN HEMT Power Amplifier with High Efficiency

A 2 4 GHz Octave Bandwidth GaN HEMT Power Amplifier with High Efficiency Progress In Electromagnetics Research Letters, Vol. 63, 7 14, 216 A 2 4 GHz Octave Bandwidth GaN HEMT Power Amplifier with High Efficiency Hao Guo, Chun-Qing Chen, Hao-Quan Wang, and Ming-Li Hao * Abstract

More information

DESIGN OF AN S-BAND TWO-WAY INVERTED ASYM- METRICAL DOHERTY POWER AMPLIFIER FOR LONG TERM EVOLUTION APPLICATIONS

DESIGN OF AN S-BAND TWO-WAY INVERTED ASYM- METRICAL DOHERTY POWER AMPLIFIER FOR LONG TERM EVOLUTION APPLICATIONS Progress In Electromagnetics Research Letters, Vol. 39, 73 80, 2013 DESIGN OF AN S-BAND TWO-WAY INVERTED ASYM- METRICAL DOHERTY POWER AMPLIFIER FOR LONG TERM EVOLUTION APPLICATIONS Hai-Jin Zhou * and Hua

More information

Evaluation of High Efficiency PAs for use in

Evaluation of High Efficiency PAs for use in CENTRE Evaluation of High Efficiency PAs for use in Supply- and Load-Modulation Transmitters Christian Fager, Hossein Mashad Nemati, Ulf Gustavsson,,* Rik Jos, and Herbert Zirath GigaHertz centre Chalmers

More information

In modern wireless. A High-Efficiency Transmission-Line GaN HEMT Class E Power Amplifier CLASS E AMPLIFIER. design of a Class E wireless

In modern wireless. A High-Efficiency Transmission-Line GaN HEMT Class E Power Amplifier CLASS E AMPLIFIER. design of a Class E wireless CASS E AMPIFIER From December 009 High Frequency Electronics Copyright 009 Summit Technical Media, C A High-Efficiency Transmission-ine GaN HEMT Class E Power Amplifier By Andrei Grebennikov Bell abs Ireland

More information

Design of Class F Power Amplifiers Using Cree GaN HEMTs and Microwave Office Software to Optimize Gain, Efficiency, and Stability

Design of Class F Power Amplifiers Using Cree GaN HEMTs and Microwave Office Software to Optimize Gain, Efficiency, and Stability White Paper Design of Class F Power Amplifiers Using Cree GaN HEMTs and Microwave Office Software to Optimize Gain, Efficiency, and Stability Overview This white paper explores the design of power amplifiers

More information

Design of Hybrid SiC Varactor Driver Circuit using SiC MESFET

Design of Hybrid SiC Varactor Driver Circuit using SiC MESFET Design of Hybrid SiC Varactor Driver Circuit using SiC MESFET Master of Science Thesis in Master Degree Program Wireless and Photonics Engineering IMRAN KHAN Microwave Electronics Laboratory Department

More information

0.5GHz - 1.5GHz Bandwidth 10W GaN HEMT RF Power Amplifier Design

0.5GHz - 1.5GHz Bandwidth 10W GaN HEMT RF Power Amplifier Design International Journal of Electrical and Computer Engineering (IJECE) Vol. 8, No. 3, June 2018, pp. 1837~1843 ISSN: 2088-8708, DOI: 10.11591/ijece.v8i3.pp1837-1843 1837 0.5GHz - 1.5GHz Bandwidth 10W GaN

More information

Leveraging High-Accuracy Models to Achieve First Pass Success in Power Amplifier Design

Leveraging High-Accuracy Models to Achieve First Pass Success in Power Amplifier Design Application Note Leveraging High-Accuracy Models to Achieve First Pass Success in Power Amplifier Design Overview Nonlinear transistor models enable designers to concurrently optimize gain, power, efficiency,

More information

Design of Adaptive Highly Ef cient GaN Power Ampli er for Octave-Bandwidth Application and Dynamic Load Modulation

Design of Adaptive Highly Ef cient GaN Power Ampli er for Octave-Bandwidth Application and Dynamic Load Modulation Design of Adaptive Highly Ef cient GaN Power Ampli er for Octave-Bandwidth Application and Dynamic Load Modulation Kenle Chen, Student Member, IEEE, and Dimitrios Peroulis, Member, IEEE Abstract This paper

More information

EECS-730 High-Power Inverted Doherty Power Amplifier for Broadband Application

EECS-730 High-Power Inverted Doherty Power Amplifier for Broadband Application EECS-730 High-Power Inverted Doherty Power Amplifier for Broadband Application Jehyeon Gu* Mincheol Seo Hwiseob Lee Jinhee Kwon Junghyun Ham Hyungchul Kim and Youngoo Yang Sungkyunkwan University 300 Cheoncheon-dong

More information

A New Topology of Load Network for Class F RF Power Amplifiers

A New Topology of Load Network for Class F RF Power Amplifiers A New Topology of Load Network for Class F RF Firas Mohammed Ali Al-Raie Electrical Engineering Department, University of Technology/Baghdad. Email: 30204@uotechnology.edu.iq Received on:12/1/2016 & Accepted

More information

Design and Layout of a X-Band MMIC Power Amplifier in a Phemt Technology

Design and Layout of a X-Band MMIC Power Amplifier in a Phemt Technology Design and Layout of a X-Band MMIC Power Amplifier in a Phemt Technology Renbin Dai, and Rana Arslan Ali Khan Abstract The design of Class A and Class AB 2-stage X band Power Amplifier is described in

More information

Today s wireless system

Today s wireless system From May 2009 High Frequency Electronics Copyright 2009 Summit Technical Media, LLC High-Power, High-Efficiency GaN HEMT Power Amplifiers for 4G Applications By Simon Wood, Ray Pengelly, Don Farrell, and

More information

RF/Microwave Amplifier Design Using Harmonic Balance Simulation With Only S-parameter Data

RF/Microwave Amplifier Design Using Harmonic Balance Simulation With Only S-parameter Data Application Note RF/Microwave Amplifier Design Using Harmonic Balance Simulation With Only S-parameter Data Overview It is widely held that S-parameters combined with harmonic balance (HB) alone cannot

More information

Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode

Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode Z. Mokhti, P.J. Tasker and J. Lees Centre for High Frequency Engineering, Cardiff

More information

Downloaded from edlib.asdf.res.in

Downloaded from edlib.asdf.res.in ASDF India Proceedings of the Intl. Conf. on Innovative trends in Electronics Communication and Applications 2014 242 Design and Implementation of Ultrasonic Transducers Using HV Class-F Power Amplifier

More information

Recent Advances in Power Encoding and GaN Switching Technologies for Digital Transmitters

Recent Advances in Power Encoding and GaN Switching Technologies for Digital Transmitters MITSUBISHI ELECTRIC RESEARCH LABORATORIES http://www.merl.com Recent Advances in Power Encoding and GaN Switching Technologies for Digital Transmitters Ma, R. TR2015-131 December 2015 Abstract Green and

More information

RECENT MOBILE handsets for code-division multiple-access

RECENT MOBILE handsets for code-division multiple-access IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007 633 The Doherty Power Amplifier With On-Chip Dynamic Bias Control Circuit for Handset Application Joongjin Nam and Bumman

More information

A Product Development Flow for 5G/LTE Envelope Tracking Power Amplifiers, Part 2

A Product Development Flow for 5G/LTE Envelope Tracking Power Amplifiers, Part 2 Test & Measurement A Product Development Flow for 5G/LTE Envelope Tracking Power Amplifiers, Part 2 ET and DPD Enhance Efficiency and Linearity Figure 12: Simulated AM-AM and AM-PM response plots for a

More information

Behavioral Modeling and Digital Predistortion of Radio Frequency Power Amplifiers

Behavioral Modeling and Digital Predistortion of Radio Frequency Power Amplifiers Signal Processing and Speech Communication Laboratory 1 / 20 Behavioral Modeling and Digital Predistortion of Radio Frequency Power Amplifiers Harald Enzinger PhD Defense 06.03.2018 u www.spsc.tugraz.at

More information

Wideband and High Efficiency Feed-Forward Linear Power Amplifier for Base Stations

Wideband and High Efficiency Feed-Forward Linear Power Amplifier for Base Stations Base Station Power Amplifier High Efficiency Wideband and High Efficiency Feed-Forward Linear Power Amplifier for Base Stations This paper presents a new feed-forward linear power amplifier configuration

More information

Concurrent Dual-Band GaN-HEMT Power Amplifier at 1.8 GHz and 2.4 GHz

Concurrent Dual-Band GaN-HEMT Power Amplifier at 1.8 GHz and 2.4 GHz Concurrent Dual-Band GaN-HEMT Poer Amplifier at 1.8 GHz and 2.4 GHz #1 Paul Saad, *2 Paolo Colantonio, Junghan Moon, * Luca Piazzon, * Franco Giannini, # Kristoffer Andersson, Bumman Kim, and # Christian

More information

Design and simulation of Parallel circuit class E Power amplifier

Design and simulation of Parallel circuit class E Power amplifier International Journal of scientific research and management (IJSRM) Volume 3 Issue 7 Pages 3270-3274 2015 \ Website: www.ijsrm.in ISSN (e): 2321-3418 Design and simulation of Parallel circuit class E Power

More information

NI AWR Design Environment Load-Pull Simulation Supports the Design of Wideband High-Efficiency Power Amplifiers

NI AWR Design Environment Load-Pull Simulation Supports the Design of Wideband High-Efficiency Power Amplifiers Design NI AWR Design Environment Load-Pull Simulation Supports the Design of Wideband High-Efficiency Power Amplifiers The design of power amplifiers (PAs) for present and future wireless systems requires

More information

Comparison of Bias-Voltage and Reflection-Coefficient Based Reconfiguration of a Tunable-Varactor Matching Network for Adaptive Amplifiers

Comparison of Bias-Voltage and Reflection-Coefficient Based Reconfiguration of a Tunable-Varactor Matching Network for Adaptive Amplifiers Comparison of Bias-Voltage and Reflection-Coefficient Based Reconfiguration of a Tunable-Varactor Matching Network for Adaptive Amplifiers Lucilia Lamers 1, Zachary Hays 1, Christopher Kappelmann 1, Sarvin

More information

A Doherty Power Amplifier with Extended Efficiency and Bandwidth

A Doherty Power Amplifier with Extended Efficiency and Bandwidth This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* A Doherty Power Amplifier with Extended Efficiency

More information

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Jaehyuk Yoon* (corresponding author) School of Electronic Engineering, College of Information Technology,

More information

ANALYSIS OF BROADBAND GAN SWITCH MODE CLASS-E POWER AMPLIFIER

ANALYSIS OF BROADBAND GAN SWITCH MODE CLASS-E POWER AMPLIFIER Progress In Electromagnetics Research Letters, Vol. 38, 151 16, 213 ANALYSIS OF BROADBAND GAN SWITCH MODE CLASS-E POWER AMPLIFIER Ahmed Tanany, Ahmed Sayed *, and Georg Boeck Berlin Institute of Technology,

More information

Inverse Class F Power Amplifier for WiMAX Applications with 74% Efficiency at 2.45 GHz

Inverse Class F Power Amplifier for WiMAX Applications with 74% Efficiency at 2.45 GHz Inverse Class F Power Amplifier for WiMAX Applications with 74% Efficiency at 2.45 GHz F. M. Ghannouchi, and M. M. Ebrahimi iradio Lab., Dept. of Electrical and Computer Eng. Schulich School of Engineering,

More information

High Power Two- Stage Class-AB/J Power Amplifier with High Gain and

High Power Two- Stage Class-AB/J Power Amplifier with High Gain and MPRA Munich Personal RePEc Archive High Power Two- Stage Class-AB/J Power Amplifier with High Gain and Efficiency Fatemeh Rahmani and Farhad Razaghian and Alireza Kashaninia Department of Electronics,

More information

WITH mobile communication technologies, such as longterm

WITH mobile communication technologies, such as longterm IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 206 533 A Two-Stage Broadband Fully Integrated CMOS Linear Power Amplifier for LTE Applications Kihyun Kim, Jaeyong Ko,

More information

1 GHz Current Mode Class-D Power Amplifier in Hybrid Technology Using GaN HEMTs

1 GHz Current Mode Class-D Power Amplifier in Hybrid Technology Using GaN HEMTs ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 11, Number 4, 2008, 319 328 1 GHz Current Mode Class-D Power Amplifier in Hybrid Technology Using GaN HEMTs Pouya AFLAKI, Renato NEGRA, Fadhel

More information

DESIGN OF POWER-SCALABLE GALLIUM NITRIDE CLASS E POWER AMPLIFIERS

DESIGN OF POWER-SCALABLE GALLIUM NITRIDE CLASS E POWER AMPLIFIERS DESIGN OF POWER-SCALABLE GALLIUM NITRIDE CLASS E POWER AMPLIFIERS Thesis Submitted to The School of Engineering of the UNIVERSITY OF DAYTON In Partial Fulfillment of the Requirements for The Degree of

More information

Wide-Band Two-Stage GaAs LNA for Radio Astronomy

Wide-Band Two-Stage GaAs LNA for Radio Astronomy Progress In Electromagnetics Research C, Vol. 56, 119 124, 215 Wide-Band Two-Stage GaAs LNA for Radio Astronomy Jim Kulyk 1,GeWu 2, Leonid Belostotski 2, *, and James W. Haslett 2 Abstract This paper presents

More information

Class E and Class D -1 GaN HEMT Switched-Mode Power Amplifiers

Class E and Class D -1 GaN HEMT Switched-Mode Power Amplifiers Class E and Class D -1 GaN HEMT Switched-Mode Power Amplifiers J. A. GARCÍA *, R. MERLÍN *, M. FERNÁNDEZ *, B. BEDIA *, L. CABRIA *, R. MARANTE *, T. M. MARTÍN-GUERRERO ** *Departamento Ingeniería de Comunicaciones

More information

Politecnico di Torino. Porto Institutional Repository

Politecnico di Torino. Porto Institutional Repository Politecnico di Torino Porto Institutional Repository [Proceeding] A 22W 65% efficiency GaN Doherty power amplifier at 3.5 GHz for WiMAX applications Original Citation: Moreno Rubio J.; Fang J.; Quaglia

More information

DESIGN OF HIGH POWER AND EFFICIENT RF LDMOS PA FOR ISM APPLICATIONS

DESIGN OF HIGH POWER AND EFFICIENT RF LDMOS PA FOR ISM APPLICATIONS DESIGN OF HIGH POWER AND EFFICIENT RF LDMOS PA FOR ISM APPLICATIONS Farhat Abbas and John Gajadharsing NXP Semiconductors Nijmegen, The Netherlands Farhat.abbas@nxp.com Very high performance in power and

More information

WIDE-BAND circuits are now in demand as wide-band

WIDE-BAND circuits are now in demand as wide-band 704 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006 Compact Wide-Band Branch-Line Hybrids Young-Hoon Chun, Member, IEEE, and Jia-Sheng Hong, Senior Member, IEEE Abstract

More information

A Simulation-Based Flow for Broadband GaN Power Amplifier Design

A Simulation-Based Flow for Broadband GaN Power Amplifier Design Rubriken Application A Simulation-Based Flow for Broadband GaN Power Amplifier Design This application note demonstrates a simulation-based methodology for broadband power amplifier (PA) design using load-line,

More information

High-efficiency class E/F 3 power amplifiers with extended maximum operating frequency

High-efficiency class E/F 3 power amplifiers with extended maximum operating frequency LETTER IEICE Electronics Express, Vol.15, No.12, 1 10 High-efficiency class E/F 3 power amplifiers with extended maximum operating frequency Chang Liu 1, Xiang-Dong Huang 2a), and Qian-Fu Cheng 1 1 School

More information

2-6 GHz GaN HEMT Power Amplifier MMIC with Bridged-T All-Pass Filters and Output-Reactance- Compensation Shorted Stubs

2-6 GHz GaN HEMT Power Amplifier MMIC with Bridged-T All-Pass Filters and Output-Reactance- Compensation Shorted Stubs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.312 ISSN(Online) 2233-4866 2-6 GHz GaN HEMT Power Amplifier MMIC

More information

A GHz Highly Linear Broadband Power Amplifier for LTE-A Application

A GHz Highly Linear Broadband Power Amplifier for LTE-A Application Progress In Electromagnetics Research C, Vol. 66, 47 54, 2016 A 1.8 2.8 GHz Highly Linear Broadband Power Amplifier for LTE-A Application Chun-Qing Chen, Ming-Li Hao, Zhi-Qiang Li, Ze-Bao Du, and Hao Yang

More information

Highly Linear GaN Class AB Power Amplifier Design

Highly Linear GaN Class AB Power Amplifier Design 1 Highly Linear GaN Class AB Power Amplifier Design Pedro Miguel Cabral, José Carlos Pedro and Nuno Borges Carvalho Instituto de Telecomunicações Universidade de Aveiro, Campus Universitário de Santiago

More information

A High Efficiency and Wideband Doherty Power Amplifier for 5G. Master s thesis in Wireless, Photonics and Space Engineering HALIL VOLKAN HUNERLI

A High Efficiency and Wideband Doherty Power Amplifier for 5G. Master s thesis in Wireless, Photonics and Space Engineering HALIL VOLKAN HUNERLI A High Efficiency and Wideband Doherty Power Amplifier for 5G Master s thesis in Wireless, Photonics and Space Engineering HALIL VOLKAN HUNERLI Department of Microtechnology and Nanoscience-MC2 CHALMERS

More information

A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network

A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network Kyle Holzer and Jeffrey S. Walling University of Utah PERFIC Lab, Salt Lake City, UT 84112, USA Abstract Integration

More information

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of Broadband Inverse Class-F Power Amplifier

More information

Radio Frequency Switch-mode Power Amplifiers and Synchronous Rectifiers for Wireless Applications

Radio Frequency Switch-mode Power Amplifiers and Synchronous Rectifiers for Wireless Applications Radio Frequency Switch-mode Power Amplifiers and Synchronous Rectifiers for Wireless Applications by Sadegh Abbasian A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR

More information

Design of alinearized and efficient doherty amplifier for c-band applications

Design of alinearized and efficient doherty amplifier for c-band applications 12th European Microwave Integrated Circuits Conference (EuMIC) Design of alinearized and efficient doherty amplifier for c-band applications Steffen Probst Timo Martinelli Steffen Seewald Bernd Geck Dirk

More information

Linearization of Three-Stage Doherty Amplifier

Linearization of Three-Stage Doherty Amplifier Linearization of Three-Stage Doherty Amplifier NATAŠA MALEŠ ILIĆ, ALEKSANDAR ATANASKOVIĆ, BRATISLAV MILOVANOVIĆ Faculty of Electronic Engineering University of Niš, Aleksandra Medvedeva 14, Niš Serbia

More information

print close Chris Bean, AWR Group, NI

print close Chris Bean, AWR Group, NI 1 of 12 3/28/2016 2:42 PM print close Microwaves and RF Chris Bean, AWR Group, NI Mon, 2016-03-28 10:44 The latest version of an EDA software tool works directly with device load-pull data to develop the

More information

Load-Pull Analysis Using NI AWR Software

Load-Pull Analysis Using NI AWR Software Application Example Load-Pull Analysis Using NI AWR Software Overview Load-pull analysis is one of the key design techniques in amplifier design and is often used for determining an appropriate load. Amplifiers

More information

Load Pull Validation of Large Signal Cree GaN Field Effect Transistor (FET) Model

Load Pull Validation of Large Signal Cree GaN Field Effect Transistor (FET) Model APPLICATION NOTE Load Pull Validation of Large Signal Cree GaN Field Effect Transistor (FET) Model Introduction Large signal models for RF power transistors, if matched well with measured performance,

More information

IEEE Antennas and Wireless Propagation Letters. Copyright Institute of Electrical and Electronics Engineers.

IEEE Antennas and Wireless Propagation Letters. Copyright Institute of Electrical and Electronics Engineers. Title Dual-band monopole antenna with frequency-tunable feature for WiMAX applications Author(s) Sun, X; Cheung, SW; Yuk, TTI Citation IEEE Antennas and Wireless Propagation Letters, 2013, v. 12, p. 100-103

More information

ECEN 5014, Spring 2009 Special Topics: Active Microwave Circuits Zoya Popovic, University of Colorado, Boulder

ECEN 5014, Spring 2009 Special Topics: Active Microwave Circuits Zoya Popovic, University of Colorado, Boulder ECEN 5014, Spring 2009 Special Topics: Active Microwave Circuits Zoya opovic, University of Colorado, Boulder LECTURE 3 MICROWAVE AMLIFIERS: INTRODUCTION L3.1. TRANSISTORS AS BILATERAL MULTIORTS Transistor

More information

Highly Efficient Resonant Wireless Power Transfer with Active MEMS Impedance Matching

Highly Efficient Resonant Wireless Power Transfer with Active MEMS Impedance Matching Highly Efficient Resonant Wireless Power Transfer with Active MEMS Impedance Matching Bernard Ryan Solace Power Mount Pearl, NL, Canada bernard.ryan@solace.ca Marten Seth Menlo Microsystems Irvine, CA,

More information

A TUNABLE GHz BANDPASS FILTER BASED ON SINGLE MODE

A TUNABLE GHz BANDPASS FILTER BASED ON SINGLE MODE Progress In Electromagnetics Research, Vol. 135, 261 269, 2013 A TUNABLE 1.4 2.5 GHz BANDPASS FILTER BASED ON SINGLE MODE Yanyi Wang *, Feng Wei, He Xu, and Xiaowei Shi National Laboratory of Science and

More information

DESIGNING AN OCTAVE-BANDWIDTH DOHERTY AM- PLIFIER USING A NOVEL POWER COMBINATION METHOD

DESIGNING AN OCTAVE-BANDWIDTH DOHERTY AM- PLIFIER USING A NOVEL POWER COMBINATION METHOD Progress In Electromagnetics Research B, Vol. 56, 327 346, 2013 DESIGNING AN OCTAVE-BANDWIDTH DOHERTY AM- PLIFIER USING A NOVEL POWER COMBINATION METHOD Necip Sahan 1, * and Simsek Demir 2 1 Aselsan Inc.,

More information

Silicon-Carbide High Efficiency 145 MHz Amplifier for Space Applications

Silicon-Carbide High Efficiency 145 MHz Amplifier for Space Applications Silicon-Carbide High Efficiency 145 MHz Amplifier for Space Applications By Marc Franco, N2UO 1 Introduction This paper describes a W high efficiency 145 MHz amplifier to be used in a spacecraft like AMSAT

More information

The Doherty Power Amplifier 1936 to the Present Day

The Doherty Power Amplifier 1936 to the Present Day TH1-E1 The Doherty Power Amplifier 1936 to the Present Day Ray Pengelly, Prism Consulting NC, LLC Hillsborough, NC 27278 USA 1 Summary Early History Broadcast Transmitters Handset Transmitters Cellular

More information

1590 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 62, NO. 8, AUGUST Symmetrical Large-Signal Modeling of Microwave Switch FETs

1590 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 62, NO. 8, AUGUST Symmetrical Large-Signal Modeling of Microwave Switch FETs 1590 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 62, NO. 8, AUGUST 2014 Symmetrical Large-Signal Modeling of Microwave Switch FETs Ankur Prasad, Student Member, IEEE, Christian Fager, Member,

More information

A Simple Bandpass Filter with Independently Tunable Center Frequency and Bandwidth

A Simple Bandpass Filter with Independently Tunable Center Frequency and Bandwidth Progress In Electromagnetics Research Letters, Vol. 69, 3 8, 27 A Simple Bandpass Filter with Independently Tunable Center Frequency and Bandwidth Bo Zhou *, Jing Pan Song, Feng Wei, and Xiao Wei Shi Abstract

More information

L AND S BAND TUNABLE FILTERS PROVIDE DRAMATIC IMPROVEMENTS IN TELEMETRY SYSTEMS

L AND S BAND TUNABLE FILTERS PROVIDE DRAMATIC IMPROVEMENTS IN TELEMETRY SYSTEMS L AND S BAND TUNABLE FILTERS PROVIDE DRAMATIC IMPROVEMENTS IN TELEMETRY SYSTEMS Item Type text; Proceedings Authors Wurth, Timothy J.; Rodzinak, Jason Publisher International Foundation for Telemetering

More information

The Design of A 125W L-Band GaN Power Amplifier

The Design of A 125W L-Band GaN Power Amplifier Sheet Code RFi0613 White Paper The Design of A 125W L-Band GaN Power Amplifier This paper describes the design and evaluation of a single stage 125W L-Band GaN Power Amplifier using a low-cost packaged

More information

Methodology for MMIC Layout Design

Methodology for MMIC Layout Design 17 Methodology for MMIC Layout Design Fatima Salete Correra 1 and Eduardo Amato Tolezani 2, 1 Laboratório de Microeletrônica da USP, Av. Prof. Luciano Gualberto, tr. 3, n.158, CEP 05508-970, São Paulo,

More information

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc.

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. February 2014 Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. Low Noise Amplifiers (LNAs) amplify weak signals received by the antenna in communication systems.

More information

A COMPACT DOUBLE-BALANCED STAR MIXER WITH NOVEL DUAL 180 HYBRID. National Cheng-Kung University, No. 1 University Road, Tainan 70101, Taiwan

A COMPACT DOUBLE-BALANCED STAR MIXER WITH NOVEL DUAL 180 HYBRID. National Cheng-Kung University, No. 1 University Road, Tainan 70101, Taiwan Progress In Electromagnetics Research C, Vol. 24, 147 159, 2011 A COMPACT DOUBLE-BALANCED STAR MIXER WITH NOVEL DUAL 180 HYBRID Y.-A. Lai 1, C.-N. Chen 1, C.-C. Su 1, S.-H. Hung 1, C.-L. Wu 1, 2, and Y.-H.

More information

Frequency Agile Ferroelectric Filters, Power Dividers, and Couplers

Frequency Agile Ferroelectric Filters, Power Dividers, and Couplers Workshop WMA Frequency Agile Ferroelectric Filters, Power Dividers, and Couplers International Microwave Symposium 2009 R. Weigel and E. Lourandakis Outline Motivation Tunable Passive Components Ferroelectric

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

Design of High Efficiency Power Amplifier for 900 MHz GSM Application

Design of High Efficiency Power Amplifier for 900 MHz GSM Application International Journal of Innovation and Scientific esearch ISSN 2351-8014 Vol. 19 No. 1 Nov. 2015, pp. 171-178 2015 Innovative Space of Scientific esearch Journals http://www.ijisr.issr-journals.org/ Design

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

High efficiency linear

High efficiency linear From April 2011 High Frequency Electronics Copyright 2011 Summit Technical Media, LLC An Outphasing Transmitter Using Class-E PAs and Asymmetric Combining: Part 1 By Ramon Beltran, RF Micro Devices; Frederick

More information

System Considerations for Efficient and Linear Supply Modulated RF Transmitters

System Considerations for Efficient and Linear Supply Modulated RF Transmitters System Considerations for Efficient and Linear Supply Modulated RF Transmitters John Hoversten Department of Electrical and Computer Engineering University of Colorado at Boulder Boulder, Colorado 839

More information

Negative Input Resistance and Real-time Active Load-pull Measurements of a 2.5GHz Oscillator Using a LSNA

Negative Input Resistance and Real-time Active Load-pull Measurements of a 2.5GHz Oscillator Using a LSNA Negative Input Resistance and Real-time Active Load-pull Measurements of a.5ghz Oscillator Using a LSNA Inwon Suh*, Seok Joo Doo*, Patrick Roblin* #, Xian Cui*, Young Gi Kim*, Jeffrey Strahler +, Marc

More information

GaN Power Amplifiers for Next- Generation Wireless Communications

GaN Power Amplifiers for Next- Generation Wireless Communications GaN Power Amplifiers for Next- Generation Wireless Communications Jennifer Kitchen Arizona State University Students: Ruhul Hasin, Mahdi Javid, Soroush Moallemi, Shishir Shukla, Rick Welker Wireless Communications

More information

LINEARIZED CMOS HIGH EFFECIENCY CLASS-E RF POWER AMPLIFIER

LINEARIZED CMOS HIGH EFFECIENCY CLASS-E RF POWER AMPLIFIER Proceedings of the 5th WSEAS Int. Conf. on Electronics, Hardware, Wireless and Optical Communications, Madrid, Spain, February 5-7, 006 (pp09-3) LINEARIZED CMOS HIGH EFFECIENCY CLASS-E RF POWER AMPLIFIER

More information

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 93 CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 4.1 INTRODUCTION Ultra Wide Band (UWB) system is capable of transmitting data over a wide spectrum of frequency bands with low power and high data

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

Microwave Office Application Note

Microwave Office Application Note Microwave Office Application Note INTRODUCTION Wireless system components, including gallium arsenide (GaAs) pseudomorphic high-electron-mobility transistor (phemt) frequency doublers, quadruplers, and

More information

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation Francesco Carrara 1, Calogero D. Presti 2,1, Fausto Pappalardo 1, and Giuseppe

More information

Application Note 5057

Application Note 5057 A 1 MHz to MHz Low Noise Feedback Amplifier using ATF-4143 Application Note 7 Introduction In the last few years the leading technology in the area of low noise amplifier design has been gallium arsenide

More information

A Spline Large-Signal FET Model Based on Bias-Dependent Pulsed I V Measurement

A Spline Large-Signal FET Model Based on Bias-Dependent Pulsed I V Measurement 2598 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 50, NO. 11, NOVEMBER 2002 A Spline Large-Signal FET Model Based on Bias-Dependent Pulsed I V Measurement Kyoungmin Koh, Hyun-Min Park, and

More information

High Efficiency Classes of RF Amplifiers

High Efficiency Classes of RF Amplifiers Rok / Year: Svazek / Volume: Číslo / Number: Jazyk / Language 2018 20 1 EN High Efficiency Classes of RF Amplifiers - Erik Herceg, Tomáš Urbanec urbanec@feec.vutbr.cz, herceg@feec.vutbr.cz Faculty of Electrical

More information

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 9, SEPTEMBER

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 9, SEPTEMBER IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 9, SEPTEMBER 2015 2931 Multi-Frequency Measurements for Supply Modulated Transmitters Scott Schafer, Student Member, IEEE, and Zoya Popović,

More information

Introduction to Envelope Tracking. G J Wimpenny Snr Director Technology, Qualcomm UK Ltd

Introduction to Envelope Tracking. G J Wimpenny Snr Director Technology, Qualcomm UK Ltd Introduction to Envelope Tracking G J Wimpenny Snr Director Technology, Qualcomm UK Ltd Envelope Tracking Historical Context EER first proposed by Leonard Kahn in 1952 to improve efficiency of SSB transmitters

More information

A Novel Dual-Band Balanced Power Amplifier Using Branch-Line Couplers with Four Arbitrary Terminated Resistances

A Novel Dual-Band Balanced Power Amplifier Using Branch-Line Couplers with Four Arbitrary Terminated Resistances Progress In Electromagnetics Research C, Vol. 6, 67 74, 215 A Novel Dual-Band Balanced Power Amplifier Using Branch-Line Couplers with Four Arbitrary Terminated Resistances Hua Wang *, Bihua Tang, Yongle

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

Comparison of Different Driver Topologies for RF Doherty Power Amplifiers

Comparison of Different Driver Topologies for RF Doherty Power Amplifiers Comparison of Different Driver Topologies for RF Doherty Power Amplifiers Master s Thesis in Wireless, Photonics and Space Engineering Zahra Asghari Microwave Electronics Laboratory Department of Microtechnology

More information

BLUETOOTH devices operate in the MHz

BLUETOOTH devices operate in the MHz INTERNATIONAL JOURNAL OF DESIGN, ANALYSIS AND TOOLS FOR CIRCUITS AND SYSTEMS, VOL. 1, NO. 1, JUNE 2011 22 A Novel VSWR-Protected and Controllable CMOS Class E Power Amplifier for Bluetooth Applications

More information

A High Linearity and Efficiency Doherty Power Amplifier for Retrodirective Communication

A High Linearity and Efficiency Doherty Power Amplifier for Retrodirective Communication PIERS ONLINE, VOL. 4, NO. 2, 2008 151 A High Linearity and Efficiency Doherty Power Amplifier for Retrodirective Communication Xiaoqun Chen, Yuchun Guo, and Xiaowei Shi National Key Laboratory of Antennas

More information

Streamlined Design of SiGe Based Power Amplifiers

Streamlined Design of SiGe Based Power Amplifiers ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 13, Number 1, 2010, 22 32 Streamlined Design of SiGe Based Power Amplifiers Mladen BOŽANIĆ1, Saurabh SINHA 1, Alexandru MÜLLER2 1 Department

More information

A Method for Designing Broadband Doherty Power Amplifiers

A Method for Designing Broadband Doherty Power Amplifiers Progress In Electromagnetics Research, Vol. 145, 319 331, 214 A Method for Designing Broadband Doherty Power Amplifiers Luca Piazzon *, Rocco Giofrè, Paolo Colantonio, and Franco Giannini Abstract In this

More information

AN1509 APPLICATION NOTE A VERY HIGH EFFICIENCY SILICON BIPOLAR TRANSISTOR

AN1509 APPLICATION NOTE A VERY HIGH EFFICIENCY SILICON BIPOLAR TRANSISTOR AN1509 APPLICATION NOTE A VERY HIGH EFFICIENCY SILICON BIPOLAR TRANSISTOR F. Carrara - A. Scuderi - G. Tontodonato - G. Palmisano 1. ABSTRACT The potential of a high-performance low-cost silicon bipolar

More information

Microwave Office Application Note

Microwave Office Application Note Microwave Office Application Note INTRODUCTION Wireless system components, including gallium arsenide (GaAs) pseudomorphic high-electron-mobility transistor (phemt) frequency doublers, quadruplers, and

More information

An Area efficient structure for a Dual band Wilkinson power divider with flexible frequency ratios

An Area efficient structure for a Dual band Wilkinson power divider with flexible frequency ratios 1 An Area efficient structure for a Dual band Wilkinson power divider with flexible frequency ratios Jafar Sadique, Under Guidance of Ass. Prof.K.J.Vinoy.E.C.E.Department Abstract In this paper a new design

More information

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.4.506 ISSN(Online) 2233-4866 A Triple-Band Voltage-Controlled Oscillator

More information