WIDE-BAND code-division multiple access (W-CDMA),

Size: px
Start display at page:

Download "WIDE-BAND code-division multiple access (W-CDMA),"

Transcription

1 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 5, MAY A 123-mW W-CDMA Uplink Baseband Receiver IC With Beamforming Capability Hsi-Pin Ma, Member, IEEE, Ming-Luen Liou, and Tzi-Dar Chiueh, Senior Member, IEEE Abstract In this paper, architecture and circuit design of a beamforming baseband receiver IC for uplink W-CDMA communication systems is presented. In the proposed receiver, a four-antenna-element beamformer and a four-finger RAKE combiner are adopted to exploit both spatial diversity and path diversity receiving. To minimize the size and power consumption of the receiver, a latch-based 1024-tap complex delay line is custom designed for the matched filter in the channel estimation circuit. The receiver chip was fabricated in a m n-well CMOS single-poly quadruple-metal technology. The minimum supply voltage with the chip running at the nominal MHz clock rate is measured at 2.15 V. The chip has an area of 6 mm by 6.3 mm and a power consumption of about 123 mw. Index Terms Beamformer, diversity receiving, RAKE receiver, wide-band code-division multiple access (W-CDMA). I. INTRODUCTION WIDE-BAND code-division multiple access (W-CDMA), one of the major specifications for Third-Generation (3G) mobile communication systems, is proposed by the Third Generation Partnership Project (3GPP). This specification intends to achieve two major goals simultaneously: 1) provision of wide-area mobility, such as urban and suburban areas, hilly and mountainous areas, and indoor environments, and 2) support for a wide range of bearer services with different data rates, e.g., 144 kb/s in vehicular, 384 kb/s in outdoor low-mobility, and 2 Mb/s in indoor environments. The 3GPP W-CDMA specification adopts several techniques to achieve the aforementioned goals [1] [4]. Orthogonal variable spreading factor (OVSF) codes allow the base station to assign appropriate data rates to service different transmission requirements. A pilot channel is included to serve as a reference during the channel estimation procedure, which estimates critical parameters needed in RAKE combining and carrier synchronization. Moreover, several advanced receiving techniques that increase receiver signal-to-interference-and-noise Manuscript received May 13, 2003; revised December 15, This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC E and by the MOE Program for Promoting Academic Excellence of the Universities under Grant 89E-FA Hsi-Pin Ma was with the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan 10617, R.O.C. He is now with the Department of Electrical Engineering, National Tsing Hua University, HsinChu, Taiwan 300, R.O.C. Ming-Luen Liou was with the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan 10617, R.O.C. He is now with MediaTek Inc., HsinChu, Taiwan 300, R.O.C. Tzi-Dar Chiueh is with the Graduate Institute of Electronics Engineering and Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan 10617, R.O.C. ( chiueh@cc.ee.ntu.edu.tw). Digital Object Identifier /JSSC ratio (SINR) can be implemented, thus achieving higher system capacity. Multi-user detection (MUD) and adaptive array antenna are two examples of the advanced CDMA receiving techniques that can be exploited. MUD [5], [6] estimates channel characteristics of each user by monitoring the respective dedicated pilot channel and uses this information to eliminate multiple-access interference (MAI). Unfortunately, great hardware complexity prevents it from wide adoption. On the other hand, adaptive array antenna techniques [7] take advantage of the spatial diversity in an antenna array and can also greatly improve receiver performance [8] [12]. However, complex matrix operations often found in conventional adaptive array antenna algorithms make them unsuitable for low-complexity hardware implementation. To this end, we will adopt a simplified adaptive array antenna technique called spatial-signature beamformer that requires much less hardware complexity [13], [14]. In this paper, a baseband receiver architecture for uplink W-CDMA systems with adaptive beamforming capability and its low-power, low-complexity VLSI implementation are presented. The proposed receiver consists of a channel estimator that tracks channel parameters for signal recovery, a RAKE combiner that gathers multipath information for better signal detection, and a carrier synchronization circuit that compensates for carrier frequency offset. In addition, a robust and low-complexity beamformer that utilizes the spatial signature [13], [14] of the desired signal is adopted to enhance system SINR performance. A low-complexity correlator-based beam searcher computes the beamformer weights using simple arithmetic operations rather than the complex matrix operations that are needed in conventional adaptive array antenna algorithms. The following is a summary of the proposed chip: baseband processor for uplink W-CDMA receiving operating at 3.84 Mchip/s; operation at MHz sampling rate (four samples per chip) at a supply voltage of 2.15 V; provision for both spatial diversity (beamforming) and path diversity (RAKE combiner); low-power latch-file memory structure for the digital matched filter in the channel estimator; low-complexity correlator-based beam searcher that supports real-time adaptive beamforming based on a four-element antenna array; special phase/frequency estimation hardware for fast carrier synchronization. The paper is organized as follows. Section II describes the 3GPP uplink baseband physical channel specifications. Sec /04$ IEEE

2 786 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 5, MAY 2004 Fig. 1. Uplink frame structure for W-CDMA systems. (a) PRACH is for random access establishment. (b) PCPCH is for UE to send packetized data to BS. (c) DPCCH and DPDCH are the dedicated channels for transmission from UE to BS. tion III focuses on the architecture of the proposed W-CDMA chip. The functional simulation results are shown in Section IV. Detailed circuit design for the proposed architecture is discussed in Section V. In Section VI, the layout of the proposed chip and its measurement results are presented. Section VII then concludes this paper. II. W-CDMA UPLINK STANDARDS The 3GPP Radio Access Network (RAN) specification release 1999 is based on the CDMA scheme that uses the direct-sequence spread-spectrum technique. With a bandwidth of 5 MHz, the adopted chip rate is 3.84 Mchips/s. Furthermore, two levels of spreading, channelization and scrambling, are used in the standard. In the uplink, channelization is used to uncouple data channels assigned to a particular user by spreading each data channel with a distinct channelization code, and in order to support variable data rates, OVSF Walsh codes are chosen for this purpose. On the other hand, scrambling is aimed at distinguishing users inside the same cell, and either long codes or short codes can be used depending on whether or not advanced reception techniques are adopted [3]. Four types of uplink physical channels are defined in 3GPP W-CDMA specification release 1999 [1]: Physical Random Access Channel (PRACH), Physical Common Packet Channel (PCPCH), Dedicated Physical Data Channel (DPDCH), and Dedicated Physical Control Channel (DPCCH). PRACH and PCPCH are common channels and are shared among all user equipments (UE) in one cell. For dedicated communication between a UE and a base station (BS), two types of physical channels (DPDCH and DPCCH) are assigned by the BS to the UE upon granting its random access request. PRACH is used to carry the random access request message from the UEs and the slotted Aloha scheme is adopted. Once a BS receives a random access request from a UE, the BS will issue a random access grant message to that UE. This grant message contains essential information for the UE to commence communication with the BS through DPDCH and DPCCH. PCPCH is for transmission of short packet data, and the Digital Sense Multiple Access with Collision Detection (DSMA-CD) approach with fast acquisition indication is used in PCPCH. Fig. 1(a) and (b) illustrate the access frame structures for PRACH and PCPCH, respectively. The DPCCH is a control channel, containing a pilot signal, transport format combination indicator (TFCI, which is data rate information about the current DPDCH frame), feedback information (FBI), and transmission power control (TPC) information. The DPDCH consists of information bit stream from the upper layers, and the number of DPDCHs assigned to a particular UE can be more than one according to the services it has requested. Fig. 1(c) shows the frame structure of the two dedicated physical channels. Note that the frame structure is similar to that of the message part of PRACH and PCPCH. Therefore, a generic receiver can be designed for the reception of DPCH and the message parts of PRACH and PCPCH. The spreading factor (SF) of the DPCCH and the message parts of PRACH and PCPCH is fixed at 256, while that of the DPDCH can be set to, where is an integer from 0 to 6. In other words, the

3 MA et al.: W-CDMA UPLINK BASEBAND RECEIVER IC WITH BEAMFORMING CAPABILITY 787 Fig. 2. Proposed uplink receiver architecture for the W-CDMA system. spreading factor in DPDCH ranges from 256 to 4 and the possible DPDCH physical bit rates are 15, 30, 60, 120, 240, 480, and 960 kb/s. III. CHIP ARCHITECTURE A. Overview Fig. 2 shows the proposed uplink baseband receiver architecture for the W-CDMA communication system. Note that four omnidirectional antennas are adopted in the proposed receiver for beamforming. The proposed receiver consists of a beamformer, a beam searcher, a channel estimator, a RAKE combiner, and a carrier synchronization circuit. The beamformer gathers in-phase ( ) and quadrature-phase ( ) inputs from four antenna elements and combines them using weights calculated from the beam searcher so as to direct the effective beam to a specific direction for better reception. The channel estimator is used to generate, per symbol period, delays and phases that correspond to four most significant transmission paths in the multipath channel from the UE to the BS. The estimated information is then provided to other blocks in the receiver to facilitate signal demodulation. The RAKE combiner takes in the delays and weights estimated by the channel estimator and uses the maximal ratio combining (MRC) method [15] to generate the receiver outputs. The carrier synchronization circuit also utilizes the phase information estimated by the channel estimator, and with this phase information it employs a phase-locked loop to achieve phase and frequency synchronization. B. Configurations The proposed baseband receiver architecture can, with proper configuration, be used for the reception of signals from all four uplink physical channels mentioned in Section II. This is due to the similarity in the transmission scheme of the preamble part of PRACH and that of PCPCH, as well as that between the PRACH/PCPCH message part (data and control part) and the DPCH (DPDCH and DPCCH). During the preamble detection, since no detailed information about the channel characteristics is available, the channel estimator works alone while the beamformer and the phase de-rotator of the carrier synchronization circuit are both disabled. Moreover, the RAKE combiner is also disabled. In this configuration, the channel estimator continuously finds, in each symbol period, the most significant peak in the -channel matched filter output and passes it along to a preamble signature detector, which searches for a preamble. At the same time, the beam searcher computes moving averages in outputs of all four correlators, and derives four beamformer weights. In addition, the phase estimator in the carrier synchronization block estimates the carrier frequency offset by accumulating phase difference between peaks in consecutive preamble symbols. As soon as a random access request is identified, the aforementioned two blocks can immediately provide the correct and timely information needed in ensuing data reception. After initial channel estimation, the receiver obtains approximate parameters of the beamformer, the carrier synchronization loop, and the RAKE combiner. During reception of the PRACH/PCPCH message parts or the DPCCH/DPDCH signal, the beamformer begins to operate with the estimated weights. In addition, a phase de-rotator block is placed after the beamformer to close the carrier synchronization loop. Following beamforming and carrier phase/frequency correction, the RAKE combiner demodulates information bits in the channel (data in the PRACH/PCPCH message parts or DPDCH). Meanwhile, the channel estimator, the carrier synchronization block, and the beam searcher continuously track channel fluctuations through detecting pilot signals in the channel (control information in the PRACH/PCPCH message parts or DPCCH). The proposed beamformer architecture works best in the nondiffused case where there is a single dominant path. However, this may not always be valid. The third receiver configuration is designed with a view to tackling the case when the signal arrives in a more diffused fashion. A magnitude monitor is incorporated in the channel estimator. If the present primary path being tracked falls into a deep fade, the magnitude in the primary peak of the matched filter output falls below a pre-defined threshold, and the beamformer will be disabled. Only one antenna input is used and the channel estimator works without beamforming and the whole system still functions correctly. Since the beam searcher will always adaptively calculate the weights of the beamformer with the delays calculated by channel estimator, when a dominant path reappears, i.e., the magnitude in the primary peak of the matched filter output exceeds the threshold continuously over several symbols, the beamformer can be enabled again.

4 788 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 5, MAY 2004 TABLE I SIGNAL WORD LENGTH USED IN THE PROPOSED W-CDMA RECEIVER IV. FUNCTIONAL SIMULATION A. Simulation Using Fixed-Point Arithmetic The main objective of functional simulation using fixed-point arithmetic is to determine signal word length in the receiver. With fixed-point arithmetic and truncation, the associated quantization noise will degrade the receiver performance. Hence, the criterion is to minimize the hardware complexity by choosing the smallest possible word length while keeping the overall communication performance at an acceptable level. Since it will take excessively long simulation time if the bit error rate (BER) is used as the performance index, we thus use the output signal-to-noise ratio (SNR) as a gauge of the system performance [16]. After careful examination of the simulation results (e.g., Fig. 3 shows results of using different word lengths in the matched filter input), we then decide the word lengths of several variables in the proposed W-CDMA uplink baseband receiver, which are listed in Table I. B. Performance Simulation To verify the validity of the proposed fixed-point-arithmetic receiver architecture, system performance through resimulation is evaluated. The simulation environment is established as follows. First, the 3GPP W-CDMA transmitter output signal is passed through a channel model with prescribed impairments, and then the channel output is fed to the proposed W-CDMA receiver for functional simulation. The adopted channel model is based on the two-ray and four-ray Doppler fading test channels specified in the 3GPP standard with a respective speed of 3 km/h and 120 km/h [17]. Moreover, a carrier frequency offset of 200 Hz (0.1 ppm relative to the 2-GHz RF frequency) is included in the channel model. To model the spatial characteristics of wireless channels, a vectorized channel derived from extending the original multiuser scalar channel model is also constructed and it is used in the functional verification of the proposed beam searcher and beamformer. Each user has several paths, each of which arrives at a random angle distributed with an angle spread (20 as suggested in [5] for urban cells). All users have a spreading factor of 64 (60-kb/s channel bit rate). Furthermore, imperfect power control is assumed and the power of the desired user can go as low as 10 db relative to that of the interfering users, and the input SNR used is 0 db. Following the terminology in the standard, the two slow-fading two-ray channels and the fast-fading four-ray fading channel are named type-i, type-ii, and type-iii channels, respectively. According to the standard base station testing specification [17], the required BER for a rate convolutional-coded Fig. 3. Fixed-point arithmetic simulation results in determining the input word length of the complex matched filter. (SNR) is the SNR at the receiver input. scheme with information bit rate 12.2 kb/s (equivalent to 60-kb/s uncoded channel bit rate used in the simulation) is 10. Since uncoded performance of the simulation results is reported, an uncoded BER performance between 10 and 10 is deemed acceptable. Fig. 4 shows the simulated system performance under different noise and multiple access interference (MAI) levels. The notation (B) in the legend refers to the cases when the beamformer with four antenna inputs is turned on. In Fig. 4(a), it is clear that the proposed receiver can provide a satisfactory uncoded receiver performance (BER on the order of 10 ) at SNR around 10 db (about 8-dB with a processing gain of 18 db) under the worst case type-iii channel. In addition, Fig. 4(b) exhibits how beamforming can suppress MAI and thus greatly increase the system capacity (number of allowable users). It is clear that up to about 30 users can be accommodated in the slow-fading two-ray type-i test channel when the beamformer is turned on. For the worst case type-iii test channel, approximately interfering users can be tolerated even though the desired user s power is as low as 10 db relative to that of the interfering users. V. CIRCUIT DESIGN In this section, the circuit design of the major building blocks in the proposed receiver is described. A. Channel Estimator The channel estimator in the W-CDMA baseband receiver plays a key role in signal receiving and it is the receiver block

5 MA et al.: W-CDMA UPLINK BASEBAND RECEIVER IC WITH BEAMFORMING CAPABILITY 789 combiner and the beam searcher. Second, the dump time for the corrleators in the RAKE combiner and the beam searcher also depends on the delays. Third, the values of these four legitimate peaks are used to calculate the weights in the RAKE combiner according to where is the polarity of the current pilot bit. To avoid false alarm, all peaks with magnitude less than a predetermined threshold are discarded. Moreover, for other control information in the control channel (DPCCH), such as TFCI, FBI, and TPC, the channel estimator can provide the quadrature-phase component of the largest peak found in each symbol. (1) Fig. 4. System performance simulation results under three multipath fading channels with and without beamforming. (a) BER versus SNR. (b) BER versus the number of interfering users. Type-I channel is a two-ray 3-km/h Doppler fading channel with one ray 10 db lower than the other in power; Type-II channel is a two-ray 3-km/h Doppler fading channel with two equal-power rays; type-iii channel is a four-ray 120-km/h Doppler fading channel. The curves labeled with (B) refer to the cases that the beamformer is turned on. that has the highest complexity. It consists of a complex matched filter, a magnitude calculator, and a peak detector, as shown in Fig. 5. The channel estimator provides crucial control information to the other blocks in the chip, such as the RAKE combiner, the carrier synchronization circuit, the beam searcher, and the code generator. The core of the channel estimator is a complex matched filter that detects the PN code waveform of the pilot signal in PRACH, PCPCH, or DPCCH. This complex matched filter despreads the input signal, and a peak detector then finds the four most significant peaks in the matched filter output. The values of these four peaks along with their respective delays are computed and provided to other blocks and used in several different ways. First, the delays will control the start timing of the code generator whose output codes are used in the RAKE B. Latch File and PN Multiplier Due to its large spreading factor (and thus large number of taps), the complex matched filter expends a significant amount of the chip s resources, both in layout area and power consumption. To conserve area and power, a latch-based memory structure (shown in Fig. 6) replaces the delay line in a direct-form digital filter [18]. Note that the memory block is of a two-dimensional array structure, composed of rows and columns. Rows and columns are addressed progressively by two ring counters, one for rows and one for columns. These two counters together address the set of latches to store the latest data sample. To operate under a low supply voltage and to conserve power, modified low-voltage TSPC registers based on [19] and [20] were adopted in these two ring counters. In the conventional tapped-delay-line matched filter, all data in the delay-line registers are shifted every clock cycle while the coefficients (chips in the PN code) stay fixed. In the proposed matched filter, we used a techniques proposed in [18] that moves the code instead of the data. This design has two advantages. First, the complexity is greatly reduced, because the latch cell in the latch file is about half the size of a D-type flip-flop, which is often used as a one-bit delay element. Since there are 8192 delay elements, the reduction in area is significant. Second, the power consumption is also greatly reduced. In the conventional architecture, there will be at most 8192 data transitions in each clock cycle, while there are only at most 128 data transitions in the proposed matched filter. The complex multiplier in the matched filter (PN multiplier) is designed with a view to reducing circuit complexity. Since there are only four possible values in the complex coefficient of a tap, the four possible products are first computed using four adders and the final true product is selected using several 4-to-1 multiplexers. After complex multiplication, 256 complex products, 5 bits in the real part and 5 bits in the imaginary part, need to be added. Two giant Wallace tree adders (WTA), one for the real part and one for the imaginary part, are used for this purpose. Moreover, proper pipelining registers are inserted in the two adders in order to limit the critical path delay. C. Carrier Synchronization Block Due to channel fading and local oscillator frequency mismatch, the phase/frequency of the received baseband signal must be compensated appropriately. Therefore, a carrier

6 790 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 5, MAY 2004 Fig. 5. Block diagram of the channel estimator. Fig. 6. Latch file used in the complex matched filter. synchronization circuit, shown in Fig. 7, is adopted in the proposed receiver. The proposed circuit operates in two modes: acquisition and tracking. In the acquisition mode, which happens during the reception of the PRACH/PCPCH preamble part, the phasor difference between two consecutive symbols is accumulated as (2) where is the accumulated phasor difference at symbol, and is the value of the primary peak at symbol. The frequency offset estimate can be obtained by normalizing the accumulated phasor difference. During the interval when the phasor difference is being accumulated and the frequency offset estimated [computed by block (a) in Fig. 7], the carrier synchronization loop is open. Moreover, the initial frequency offset and initial phase at the instant when the loop is closed are calculated by block (b) in Fig. 7. Next, in the tracking mode, a phase detector calculating the phase of the primary peak in the matched filter output, a conventional proportional-and-integrate type loop filter averaging the phase error [Fig. 7, block (c)], and a numerical-controlled oscillator (NCO) [Fig. 7, block (d)] is adopted in this digital phase-locked loop for carrier tracking [21]. This mode is enabled during the PCPCH message part, PRACH message part, and DPCCH/DPDCH reception. Note that all registers except the one shaded in gray operate at symbol rate. The shaded register, being the phase accumulator of the NCO, operates at sample rate to output the phase of the derotating phasor. D. RAKE Combiner The RAKE combiner is responsible for the data channel ( channel, ) detection in the proposed receiver. As an important module in the CDMA communication systems, the RAKE combiner usually consists of several correlators for collecting signal components corresponding to significant multi-paths and then combines these components in a coherent fashion so as to maximize the signal to interference and noise ratio. In the proposed receiver, as shown in Fig. 8(a), four PN-code correlators with respective delays derived from the channel estimator are employed to despread the incoming sample-rate signal into four symbol-rate data. By aligning these despreaded data with the -axis and then weighted summing the aligned

7 MA et al.: W-CDMA UPLINK BASEBAND RECEIVER IC WITH BEAMFORMING CAPABILITY 791 Fig. 7. Circuit diagram for carrier synchronization. Block (a) is the phasor accumulator for estimation of the initial carrier frequency offset. Block (b) is used to calculate the initial carrier frequency offset and initial phase. Block (c) is a loop filter that averages the incoming phase error. Block (d) is the NCO. Fig. 8. Circuit diagram of (a) proposed RAKE receiver; (b) PN code correlator; (c) beam searcher; (d) beamformer. data (maximum ratio combining, MRC), the soft-decision output with the optimal SINR can be obtained [15]. However, although four RAKE fingers are provided, the actual number of fingers enabled can be adjusted according to the channel condition. The correlator circuit operates at sample rate and is shown in Fig. 8(b). In the figure, the dump signal is only high for one sample period in the symbol boundary. Thus, most of the time when the dump signal is low, the correlator accumulates correlation values for the current symbol, and the correlation value for the previous symbol is held in the output register. When the dump signal goes high, the content of the accumulator register will be dumped to the output register and the accumulator itself will be cleared, ready for the next accumulation.

8 792 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 5, MAY 2004 E. Beamformer/Beam Searcher In addition to path diversity exploited by the RAKE combiner, spatial diversity can also be exploited by an antenna array with beamforming algorithms [7]. In the proposed receiver, a correlator-based beamformer/beam searcher structure is adopted. The beam searcher, as shown in Fig. 8(c), consists of four identical modules, one for each antenna. Each of them utilizes a complex pilot-pn-code despreader (correlator) synchronized with the most significant peak to estimate the magnitude and phase of the pilot signal from one antenna. Since the four antennas are only apart ( is the wavelength of the carrier), the four despreaded outputs of the pilot signal are of about the same magnitude, yet quite different phases. In a multipath fading environment, these four correlator output phasors make up the spatial signature of the vector channel from the transmitter to the four antennas in the receiver [13], [14]. Therefore, as in the RAKE combiner, these four despreaded signals can be constructively combined if they can all be aligned to a certain reference before the summation. The beamformer weight is then set to the complex conjugate of the th component in the spatial signature obtained during PRACH reception. In addition, to provide robustness against changing spatial channel profile, the beamformer weights are updated at symbol rate using moving average of the complex conjugate of the latest spatial signature estimate and are given by Fig. 9. Die photo of the proposed W-CDMA uplink baseband receiver IC. TABLE II SUMMARY OF THE W-CDMA UPLINK BASEBAND RECEIVER IC (3) where is the beamformer weight for the th antenna at symbol, and is the th component of the spatial signature at symbol. The beamformer, as shown in Fig. 8(d), then uses these weights to combine the four complex-valued baseband signals from the antenna array, effectively steering the antenna beam pattern toward the desired direction. VI. PHYSICAL DESIGN AND MEASUREMENT RESULTS A. Layout Design Before proceeding to the layout design, the area of each building block was estimated and I/O pins were assigned according to the signal flow. Moreover, several test nodes have been incorporated, making it easy to observe signals inside the chip. The proposed uplink baseband receiver IC was fabricated in a m n-well one-ploy four-metal (1P4M) CMOS technology. The die size is about mm and it is housed in a 144-pin package. The microphotograph and the summary of the chip are shown in Fig. 9 and Table II, respectively. The latch file and the PN multiplier were designed using full-custom layout in order to save die area. All other blocks were designed by a cell-based approach and the layout of these blocks were generated by an automatic place and route tool. B. Measurement Results The fabricated chip was tested using a pattern generator and a logic analyzer. At a clock rate of MHz (equivalent to 3.84 Mchip/s as specified by the 3GPP standard), the chip can function correctly under a supply voltage range from 2.15 to 3 V. The power dissipation for each supply voltage at this clock rate is measured and plotted in Fig. 10. It is found that the minimum power dissipation is mw at a supply voltage of 2.15 V. In addition, the power dissipation of the IC was measured separately for three parts: Right stands for the right half of the chip and includes the latch file, the PN multiplier, and the WTA of the matched filter; Clock stands for the clock buffer; and Left represents the rest of the chip. Table III shows a comparison of the two-dimensional latchbased matched filter with several reported digital matched filters. Due to a wide diversity in technology, frequency, supply voltage, and tap number, it is quite difficult to make a fair and exact comparison. However, using normalized power given by we find that the latch-based matched filter in the proposed WCDMA receiver IC outperforms all previous implementations. More testing on the functional performance of the chip were conducted. Input patterns with different sampling clock offsets were fed to the chip and the output signal to noise

9 MA et al.: W-CDMA UPLINK BASEBAND RECEIVER IC WITH BEAMFORMING CAPABILITY 793 TABLE III COMPARISONS BETWEEN PREVIOUS REPORTED DIGITAL MATCHED FILTERS WITH THIS WORK. Fig. 10. Dissipation power versus supply voltage. ratios recorded. Fig. 11(a) shows the measured output SNR for different sampling clock offsets (in ppm), indicating that the chip can sustain about 50-ppm clock offset while keeping the degradation in SNR below 3 db. Another measurement that used different levels of carrier frequency offset was done and Fig. 11(b) depicts the measured output SNR for different carrier frequency offsets (in ppm). It can be seen that up to 2-ppm carrier frequency offset can be tolerated, much larger than the 0.1-ppm specified in the 3GPP standard. Finally, input patterns with typical MAI arrival angle spread were fed to the IC and the computed beamformer weights were recorded. Fig. 12 depicts the antenna array gain pattern computed from the measured beamformer weights. In the figure, directions of ten interfering users and one desired user are also plotted. Obviously, the main beam points to the desired user, and the MAI from other directions is suppressed. VII. CONCLUSION In this paper, a digital beamformer receiver architecture for 3G W-CDMA uplink systems is proposed. We have integrated a real-time correlator-based beamformer, a matched-filter-based channel estimator, a four-finger RAKE combiner, and a carrier synchronization loop into a single chip. The correlator-based Fig. 11. Measured output SNR. (a) For different clock offsets. The clock rate is MHz. (b) For different frequency offsets. The carrier frequency is 2 GHz. beam searcher reduces considerable complexity when compared with other conventional direction of arrival (DOA)-based smart antenna approaches and it still achieves very good performance. Moreover, a new TSPC register and a latch-based complex matched filter greatly reduce not only the required complexity but also the power consumption. This receiver was fabricated in a m n-well CMOS 1P4M technology, and the IC was tested and shown to be functionally correct. The chip can operate at MHz from a 2.15-V power supply and it consumes 123 mw.

10 794 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 5, MAY 2004 Fig. 12. Measured beam pattern in the environment of ten interfering users. ACKNOWLEDGMENT The authors greatly appreciate the Chip Implementation Center (CIC) of the National Science Council, Taiwan, R.O.C., for the fabrication and measurement of the proposed chip. They also thank the anonymous reviewers for their valuable suggestions that greatly improved this paper. REFERENCES [1] Physical Channels and Mapping of Transport Channels Onto Physical Channels (FDD), Oct GPP TSG RAN WG1. [2] Multiplexing and Channel Coding (FDD), Oct GPP TSG RAN WG1. [3] Spreading and Modulation (FDD), Oct GPP TSG RAN WG1. [4] Physical Layer Procedures (FDD), Oct GPP TSG RAN WG1. [5] S. Verdú, Multiuser Detection. Cambridge, U.K.: Cambridge Univ. Press, [6] D. Koulakiotis and A. H. Aghvami, Data detection techniques for DS/CDMA mobile systems: A review, IEEE Personal Commun., vol. 7, pp , June [7] T. S. Rappaport, Smart Antennas for Wireless Communications: IS-95 and Third Generation CDMA Applications. Englewood Cliffs, NJ: Prentice-Hall, [8] A. Morrison and B. S. Sharif, A space-time beamforming RAKE receiver for third generation wideband CDMA base stations, in IEEE Int. Conf. Consumer Electronics, 1999, pp [9] X. Mestre, J. F. Fonollosa, and G. Vazuqez, Uplink beamforming for the FDD mode of UTRA, in Proc. Vehicular Technology Conf., vol. 49, 1999, pp [10] X. Mestre, M. Najar, and J. F. Fonollosa, Joint beamforming and channel estimation for pilot-aided WCDMA systems, in Proc. ICCASPP, vol. 5, 2000, pp [11] K. H. Chang, I. S. Sohn, and K. S. Chang, Chip-level 2-D RAKE receiver with symbol-level searcher beamforming, in Proc. Vehicular Technology Conf., vol. 50, 1999, pp [12] E. S. Shin and Y. O. Park, Design and analysis of a smart antenna system for IMT-2000 W-CDMA, in Proc. Vehicular Technology Conf., vol. 49, 1999, pp [13] S.-S. Jeng, G. Okamoto, and G. Xu, Experimental evaluation of smart antenna system performance for capacity improvement, in Proc. IEEE GLOBECOM, vol. 1, 1997, pp [14] S.-S. Jeng, G. T. Okamoto, G. Xu, H.-P. Lin, and W. J. Vogel, Experimental evaluation of smart antenna system performance for wireless communications, IEEE Trans. Antennas Propagat., vol. 46, pp , June [15] T. S. Rappaport, Wireless Communications: Principles and Practice. Englewood Cliffs, NJ: Prentice-Hall, [16] J. S. Wu, M. L. Liu, H. P. Ma, and T. D. Chiueh, A 2.6-V 44-MHz alldigital QPSK direct-sequence spread-spectrum transceiver IC, IEEE J. Solid-State Circuits, vol. 32, pp , Oct [17] Base Station Conformance Testing (FDD), Oct GPP TSG RAN. [18] M.-L. Liou and T.-D. Chiueh, A low-power digital matched filter for direct-sequence spread-spectrum signal acquisition, IEEE J. Solid-State Circuits, vol. 36, pp , June [19] K. Cheng et al., The nonfull voltage swing TSPC (NSTSPC) logic design, in Proc. IEEE Asia-Pacific Conf. ASIC, Aug. 2000, pp [20] J. Kuo et al., Novel 0.8 V TSPC latches using PD-SOI DTMOS techniques for low voltage CMOS VLSI circuits, in Proc. IEEE Int. SOI Conf., Oct. 2001, pp [21] W. F. Egan, Phase-Lock Basics. New York: Wiley, [22] T. Yalcin and N. Ismailoglu, Low-power design of a 64-tap 4-bit digital matched filter using systolic array architecture and CVSL circuit techniques in CMOS, in Proc. 32th Asilomar Conf. Signals, Systems and Computing, vol. 2, Nov. 1998, pp [23] K. C. Liu, W. C. Lin, and C. K. Wang, A pipelined digital differential matched-filter FPGA implementation and VLSI design, in Proc. IEEE Custom Integrated Circuits Conf., May 1996, pp [24] S.-H. Yen and C.-K. Wang, A 2 V CMOS programmable pipelined digital differential matched filter for DS-CDMA system, in Proc. 1st IEEE Asia-Pacific Conf. ASIC, Aug. 1999, pp [25] S. Goto, T. Yamada, N. Takayama, Y. Matsushita, Y. Harada, and H. Yasuura, A design for a low-power digital matched filter applicable to W-CDMA, in Proc. Euromicro Symp. Digital System Design, 2002, pp Hsi-Pin Ma (M 98) was born in Nantou, Taiwan, R.O.C., in He received the B.S. and Ph.D. degrees in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1995 and 2002, respectively. Since 2003, he has been with the Department of Electrical Engineering, National Tsing Hua University, HsinChu, Taiwan, where he is currently an Assistant Professor. His research interests include baseband signal processing of communication systems and related VLSI design. Ming-Luen Liou was born in Taipei, Taiwan, R.O.C., on February 15, He received the B.S. and the Ph.D. degrees in electrical engineering from National Taiwan University, Taipei, in 1994 and 2001, respectively. He was in military service from 1996 to He is currently a Member of Technical Staff with MediaTek Inc., HsinChu, Taiwan. His research interests include baseband signal processing algorithm and low-power digital ASIC implementations of communication systems. Tzi-Dar Chiueh (S 87 M 90 SM 03) was born in Taipei, Taiwan, R.O.C., on July 3, He received the B.S.E.E. degree from the National Taiwan University, Taipei, Taiwan, in He received the M.S. and Ph.D. degrees in electrical engineering from the California Institute of Technology, Pasadena, in 1986 and 1989, respectively. Since 1989, he has been with the Department of Electrical Engineering, National Taiwan University, Taipei, where he is currently a Professor. His research interests include IC design for digital communication systems and analog neuromorphic systems.

Design and Implementation of a WCDMA Uplink Baseband Receiver IC

Design and Implementation of a WCDMA Uplink Baseband Receiver IC Design and Implementation of a WCDMA Uplink Baseband Receiver IC ( 馬席彬 ) Assistant Professor Department of Electrical Engineering National Tsing Hua University Feb. 12, 2004 Outline Design Flow Architecture

More information

FPGA Simulation of WCDMA Baseband Receiver Carrier Synchronization Unit

FPGA Simulation of WCDMA Baseband Receiver Carrier Synchronization Unit FPGA Simulation of WCDMA Baseband Receiver Carrier Synchronization Unit Sujatha E 1, Dr. C Subhas 2 Assistant professor, Dept. of EConE, Sree Vidyanikethan Engineering College, Tirupati, A.P, India 1 Professor,

More information

Comparison of Beamforming Techniques for W-CDMA Communication Systems

Comparison of Beamforming Techniques for W-CDMA Communication Systems 752 IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY, VOL. 52, NO. 4, JULY 2003 Comparison of Beamforming Techniques for W-CDMA Communication Systems Hsueh-Jyh Li and Ta-Yung Liu Abstract In this paper, different

More information

Design of Adjustable Reconfigurable Wireless Single Core

Design of Adjustable Reconfigurable Wireless Single Core IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 51-55 Design of Adjustable Reconfigurable Wireless Single

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

Abstract. Marío A. Bedoya-Martinez. He joined Fujitsu Europe Telecom R&D Centre (UK), where he has been working on R&D of Second-and

Abstract. Marío A. Bedoya-Martinez. He joined Fujitsu Europe Telecom R&D Centre (UK), where he has been working on R&D of Second-and Abstract The adaptive antenna array is one of the advanced techniques which could be implemented in the IMT-2 mobile telecommunications systems to achieve high system capacity. In this paper, an integrated

More information

Transmit Diversity Schemes for CDMA-2000

Transmit Diversity Schemes for CDMA-2000 1 of 5 Transmit Diversity Schemes for CDMA-2000 Dinesh Rajan Rice University 6100 Main St. Houston, TX 77005 dinesh@rice.edu Steven D. Gray Nokia Research Center 6000, Connection Dr. Irving, TX 75240 steven.gray@nokia.com

More information

Performance Gain of Smart Antennas with Hybrid Combining at Handsets for the 3GPP WCDMA System

Performance Gain of Smart Antennas with Hybrid Combining at Handsets for the 3GPP WCDMA System Performance Gain of Smart Antennas with Hybrid Combining at Handsets for the 3GPP WCDMA System Suk Won Kim 1, Dong Sam Ha 1, Jeong Ho Kim 2, and Jung Hwan Kim 3 1 VTVT (Virginia Tech VLSI for Telecommunications)

More information

DESIGN AND IMPLEMENTATION OF WCDMA RAKE RECEIVER USED IN 3G WIRELESS COMMUNICATION

DESIGN AND IMPLEMENTATION OF WCDMA RAKE RECEIVER USED IN 3G WIRELESS COMMUNICATION http:// DESIGN AND IMPLEMENTATION OF WCDMA RAKE RECEIVER USED IN 3G WIRELESS COMMUNICATION Kapil Sahu 1, Sarita Boolchandani 2, Brijesh Kumar 3 1,2,3 E & C Dept., Vivekananda Institute of Technology-East,

More information

Performance of Smart Antennas with Adaptive Combining at Handsets for the 3GPP WCDMA System

Performance of Smart Antennas with Adaptive Combining at Handsets for the 3GPP WCDMA System Performance of Smart Antennas with Adaptive Combining at Handsets for the 3GPP WCDMA System Suk Won Kim, Dong Sam Ha, Jeong Ho Kim, and Jung Hwan Kim 3 VTVT (Virginia Tech VLSI for Telecommunications)

More information

AN IMPROVED WINDOW BLOCK CORRELATION ALGORITHM FOR CODE TRACKING IN W-CDMA

AN IMPROVED WINDOW BLOCK CORRELATION ALGORITHM FOR CODE TRACKING IN W-CDMA Al-Qadisiya Journal For Engineering Sciences, Vol. 5, No. 4, 367-376, Year 01 AN IMPROVED WINDOW BLOCK CORRELATION ALGORITHM FOR CODE TRACKING IN W-CDMA Hassan A. Nasir, Department of Electrical Engineering,

More information

A Simulation Tool for Third Generation CDMA Systems Presentation to IEEE Sarnoff Symposium

A Simulation Tool for Third Generation CDMA Systems Presentation to IEEE Sarnoff Symposium A Simulation Tool for Third Generation CDMA Systems Presentation to IEEE Sarnoff Symposium March 22, 2000 Fakhrul Alam, William Tranter, Brian Woerner Mobile and Portable Radio Research Group () e-mail:

More information

Carrier Frequency Offset Estimation in WCDMA Systems Using a Modified FFT-Based Algorithm

Carrier Frequency Offset Estimation in WCDMA Systems Using a Modified FFT-Based Algorithm Carrier Frequency Offset Estimation in WCDMA Systems Using a Modified FFT-Based Algorithm Seare H. Rezenom and Anthony D. Broadhurst, Member, IEEE Abstract-- Wideband Code Division Multiple Access (WCDMA)

More information

Achievable-SIR-Based Predictive Closed-Loop Power Control in a CDMA Mobile System

Achievable-SIR-Based Predictive Closed-Loop Power Control in a CDMA Mobile System 720 IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY, VOL. 51, NO. 4, JULY 2002 Achievable-SIR-Based Predictive Closed-Loop Power Control in a CDMA Mobile System F. C. M. Lau, Member, IEEE and W. M. Tam Abstract

More information

Cellular Network Planning and Optimization Part VI: WCDMA Basics. Jyri Hämäläinen, Communications and Networking Department, TKK, 24.1.

Cellular Network Planning and Optimization Part VI: WCDMA Basics. Jyri Hämäläinen, Communications and Networking Department, TKK, 24.1. Cellular Network Planning and Optimization Part VI: WCDMA Basics Jyri Hämäläinen, Communications and Networking Department, TKK, 24.1.2008 Outline Network elements Physical layer Radio resource management

More information

CH 4. Air Interface of the IS-95A CDMA System

CH 4. Air Interface of the IS-95A CDMA System CH 4. Air Interface of the IS-95A CDMA System 1 Contents Summary of IS-95A Physical Layer Parameters Forward Link Structure Pilot, Sync, Paging, and Traffic Channels Channel Coding, Interleaving, Data

More information

Simulated BER Performance of, and Initial Hardware Results from, the Uplink in the U.K. LINK-CDMA Testbed

Simulated BER Performance of, and Initial Hardware Results from, the Uplink in the U.K. LINK-CDMA Testbed Simulated BER Performance of, and Initial Hardware Results from, the Uplink in the U.K. LINK-CDMA Testbed J.T.E. McDonnell1, A.H. Kemp2, J.P. Aldis3, T.A. Wilkinson1, S.K. Barton2,4 1Mobile Communications

More information

Lauri Pirttiaho, NMP/Oulu

Lauri Pirttiaho, NMP/Oulu Contents: General about radio communications systems 3GPP WCDMA L1, the physical layer structure Transmitting and receiving Channels Codings Procedures Not included: Lauri Pirttiaho, NMP/Oulu diversity

More information

ORTHOGONAL frequency division multiplexing (OFDM)

ORTHOGONAL frequency division multiplexing (OFDM) 144 IEEE TRANSACTIONS ON BROADCASTING, VOL. 51, NO. 1, MARCH 2005 Performance Analysis for OFDM-CDMA With Joint Frequency-Time Spreading Kan Zheng, Student Member, IEEE, Guoyan Zeng, and Wenbo Wang, Member,

More information

Initial Synchronization

Initial Synchronization Wireless Information Transmission System Lab. Initial Synchronization Institute of Communications Engineering National Sun Yat-sen University Contents Introduction and Over-sampling Downlink Synchronization

More information

ABHELSINKI UNIVERSITY OF TECHNOLOGY

ABHELSINKI UNIVERSITY OF TECHNOLOGY CDMA receiver algorithms 14.2.2006 Tommi Koivisto tommi.koivisto@tkk.fi CDMA receiver algorithms 1 Introduction Outline CDMA signaling Receiver design considerations Synchronization RAKE receiver Multi-user

More information

ULTRAWIDEBAND (UWB) communication systems,

ULTRAWIDEBAND (UWB) communication systems, 1726 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST 2005 A 1-GS/s FFT/IFFT Processor for UWB Applications Yu-Wei Lin, Hsuan-Yu Liu, and Chen-Yi Lee, Member, IEEE Abstract In this paper, we

More information

B SCITEQ. Transceiver and System Design for Digital Communications. Scott R. Bullock, P.E. Third Edition. SciTech Publishing, Inc.

B SCITEQ. Transceiver and System Design for Digital Communications. Scott R. Bullock, P.E. Third Edition. SciTech Publishing, Inc. Transceiver and System Design for Digital Communications Scott R. Bullock, P.E. Third Edition B SCITEQ PUBLISHtN^INC. SciTech Publishing, Inc. Raleigh, NC Contents Preface xvii About the Author xxiii Transceiver

More information

Performance Analysis of n Wireless LAN Physical Layer

Performance Analysis of n Wireless LAN Physical Layer 120 1 Performance Analysis of 802.11n Wireless LAN Physical Layer Amr M. Otefa, Namat M. ElBoghdadly, and Essam A. Sourour Abstract In the last few years, we have seen an explosive growth of wireless LAN

More information

Channel Estimation by 2D-Enhanced DFT Interpolation Supporting High-speed Movement

Channel Estimation by 2D-Enhanced DFT Interpolation Supporting High-speed Movement Channel Estimation by 2D-Enhanced DFT Interpolation Supporting High-speed Movement Channel Estimation DFT Interpolation Special Articles on Multi-dimensional MIMO Transmission Technology The Challenge

More information

CDMA & WCDMA (UMTS) AIR INTERFACE. ECE 2526-WIRELESS & CELLULAR COMMUNICATION SYSTEMS Monday, June 25, 2018

CDMA & WCDMA (UMTS) AIR INTERFACE. ECE 2526-WIRELESS & CELLULAR COMMUNICATION SYSTEMS Monday, June 25, 2018 CDMA & WCDMA (UMTS) AIR INTERFACE ECE 2526-WIRELESS & CELLULAR COMMUNICATION SYSTEMS Monday, June 25, 2018 SPREAD SPECTRUM OPTIONS (1) Fast Frequency Hopping (FFSH) Advantages: Has higher anti-jamming

More information

Performance of Wideband Mobile Channel with Perfect Synchronism BPSK vs QPSK DS-CDMA

Performance of Wideband Mobile Channel with Perfect Synchronism BPSK vs QPSK DS-CDMA Performance of Wideband Mobile Channel with Perfect Synchronism BPSK vs QPSK DS-CDMA By Hamed D. AlSharari College of Engineering, Aljouf University, Sakaka, Aljouf 2014, Kingdom of Saudi Arabia, hamed_100@hotmail.com

More information

Performance Evaluation of STBC-OFDM System for Wireless Communication

Performance Evaluation of STBC-OFDM System for Wireless Communication Performance Evaluation of STBC-OFDM System for Wireless Communication Apeksha Deshmukh, Prof. Dr. M. D. Kokate Department of E&TC, K.K.W.I.E.R. College, Nasik, apeksha19may@gmail.com Abstract In this paper

More information

Closed-Loop Derivation and Evaluation of Joint Carrier Synchronization and Channel Equalization Algorithm for OFDM Systems

Closed-Loop Derivation and Evaluation of Joint Carrier Synchronization and Channel Equalization Algorithm for OFDM Systems International Journal of Electrical & Computer Sciences IJECS-IJENS Vol:16 No:02 1 Closed-Loop Derivation and Evaluation of Joint Carrier Synchronization and Channel Equalization Algorithm for OFDM Systems

More information

Wireless Medium Access Control and CDMA-based Communication Lesson 14 CDMA2000

Wireless Medium Access Control and CDMA-based Communication Lesson 14 CDMA2000 Wireless Medium Access Control and CDMA-based Communication Lesson 14 CDMA2000 1 CDMA2000 400 MHz, 800 MHz, 900 MHz, 1700 MHz, 1800 MHz, 1900 MHz, and 2100 MHz Compatible with the cdmaone standard A set

More information

CH 5. Air Interface of the IS-95A CDMA System

CH 5. Air Interface of the IS-95A CDMA System CH 5. Air Interface of the IS-95A CDMA System 1 Contents Summary of IS-95A Physical Layer Parameters Forward Link Structure Pilot, Sync, Paging, and Traffic Channels Channel Coding, Interleaving, Data

More information

Narrow-Band Interference Rejection in DS/CDMA Systems Using Adaptive (QRD-LSL)-Based Nonlinear ACM Interpolators

Narrow-Band Interference Rejection in DS/CDMA Systems Using Adaptive (QRD-LSL)-Based Nonlinear ACM Interpolators 374 IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY, VOL. 52, NO. 2, MARCH 2003 Narrow-Band Interference Rejection in DS/CDMA Systems Using Adaptive (QRD-LSL)-Based Nonlinear ACM Interpolators Jenq-Tay Yuan

More information

cdma2000 1x Rev. E Forward Link Voice Capacity

cdma2000 1x Rev. E Forward Link Voice Capacity cdma2 1x Rev. E Forward Link Voice Capacity Yucheun Jou, Peter Black, Qiang Wu, Rashid Attar, Wanlun Zhao, Bharat Ahuja, Junsheng Han Qualcomm Inc, San Diego, CA 92121, USA Abstract The forward link capacity

More information

THE EFFECT of multipath fading in wireless systems can

THE EFFECT of multipath fading in wireless systems can IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY, VOL. 47, NO. 1, FEBRUARY 1998 119 The Diversity Gain of Transmit Diversity in Wireless Systems with Rayleigh Fading Jack H. Winters, Fellow, IEEE Abstract In

More information

A Novel SINR Estimation Scheme for WCDMA Receivers

A Novel SINR Estimation Scheme for WCDMA Receivers 1 A Novel SINR Estimation Scheme for WCDMA Receivers Venkateswara Rao M 1 R. David Koilpillai 2 1 Flextronics Software Systems, Bangalore 2 Department of Electrical Engineering, IIT Madras, Chennai - 36.

More information

WiMAX Summit Testing Requirements for Successful WiMAX Deployments. Fanny Mlinarsky. 28-Feb-07

WiMAX Summit Testing Requirements for Successful WiMAX Deployments. Fanny Mlinarsky. 28-Feb-07 WiMAX Summit 2007 Testing Requirements for Successful WiMAX Deployments Fanny Mlinarsky 28-Feb-07 Municipal Multipath Environment www.octoscope.com 2 WiMAX IP-Based Architecture * * Commercial off-the-shelf

More information

Effect of Imperfect Channel Estimation on Transmit Diversity in CDMA Systems. Xiangyang Wang and Jiangzhou Wang, Senior Member, IEEE

Effect of Imperfect Channel Estimation on Transmit Diversity in CDMA Systems. Xiangyang Wang and Jiangzhou Wang, Senior Member, IEEE 1400 IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY, VOL. 53, NO. 5, SEPTEMBER 2004 Effect of Imperfect Channel Estimation on Transmit Diversity in CDMA Systems Xiangyang Wang and Jiangzhou Wang, Senior Member,

More information

IMPROVEMENT OF CALL BLOCKING PROBABILITY IN UMTS

IMPROVEMENT OF CALL BLOCKING PROBABILITY IN UMTS International Journal of Latest Research in Science and Technology Vol.1,Issue 3 :Page No.299-303,September-October (2012) http://www.mnkjournals.com/ijlrst.htm ISSN (Online):2278-5299 IMPROVEMENT OF CALL

More information

EE 382C Literature Survey. Adaptive Power Control Module in Cellular Radio System. Jianhua Gan. Abstract

EE 382C Literature Survey. Adaptive Power Control Module in Cellular Radio System. Jianhua Gan. Abstract EE 382C Literature Survey Adaptive Power Control Module in Cellular Radio System Jianhua Gan Abstract Several power control methods in cellular radio system are reviewed. Adaptive power control scheme

More information

PERFORMANCE GAIN OF SMART DUAL ANTENNAS AT HANDSETS IN 3G CDMA SYSTEM

PERFORMANCE GAIN OF SMART DUAL ANTENNAS AT HANDSETS IN 3G CDMA SYSTEM PERFORMANCE GAIN OF SMART DUAL ANTENNAS AT HANDSETS IN 3G CDMA SYSTEM Suk Won Kim 1,DongSamHa 1,andJeongHoKim 2 1 VTVT (Virginia Tech VLSI for Telecommunications) Laboratory Department of Electrical and

More information

CDMA is used to a limited extent on the 800-MHz band, but is much more common in the 1900-MHz PCS band. It uses code-division multiple access by

CDMA is used to a limited extent on the 800-MHz band, but is much more common in the 1900-MHz PCS band. It uses code-division multiple access by IS-95 CDMA PCS CDMA Frequency Use CDMA Channels Forward Channel Reverse Channel Voice Coding Mobile Power Control Rake Receivers and Soft handoffs CDMA Security CDMA is used to a limited extent on the

More information

Utilization of Multipaths for Spread-Spectrum Code Acquisition in Frequency-Selective Rayleigh Fading Channels

Utilization of Multipaths for Spread-Spectrum Code Acquisition in Frequency-Selective Rayleigh Fading Channels 734 IEEE TRANSACTIONS ON COMMUNICATIONS, VOL. 49, NO. 4, APRIL 2001 Utilization of Multipaths for Spread-Spectrum Code Acquisition in Frequency-Selective Rayleigh Fading Channels Oh-Soon Shin, Student

More information

SNR Performance Analysis of Rake Receiver for WCDMA

SNR Performance Analysis of Rake Receiver for WCDMA International Journal of Computational Engineering & Management, Vol. 15 Issue 2, March 2012 www..org SNR Performance Analysis of Rake Receiver for WCDMA 62 Nikhil B. Patel 1 and K. R. Parmar 2 1 Electronics

More information

A Low Energy Architecture for Fast PN Acquisition

A Low Energy Architecture for Fast PN Acquisition A Low Energy Architecture for Fast PN Acquisition Christopher Deng Electrical Engineering, UCLA 42 Westwood Plaza Los Angeles, CA 966, USA -3-26-6599 deng@ieee.org Charles Chien Rockwell Science Center

More information

Orthogonal Cyclic Prefix for Time Synchronization in MIMO-OFDM

Orthogonal Cyclic Prefix for Time Synchronization in MIMO-OFDM Orthogonal Cyclic Prefix for Time Synchronization in MIMO-OFDM Gajanan R. Gaurshetti & Sanjay V. Khobragade Dr. Babasaheb Ambedkar Technological University, Lonere E-mail : gaurshetty@gmail.com, svk2305@gmail.com

More information

A 2-V 10.7-MHz CMOS Limiting Amplifier/RSSI

A 2-V 10.7-MHz CMOS Limiting Amplifier/RSSI 1474 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 35, NO. 10, OCTOBER 2000 A 2-V 10.7-MHz CMOS Limiting Amplifier/RSSI Po-Chiun Huang, Yi-Huei Chen, and Chorng-Kuang Wang, Member, IEEE Abstract This paper

More information

Level 6 Graduate Diploma in Engineering Wireless and mobile communications

Level 6 Graduate Diploma in Engineering Wireless and mobile communications 9210-119 Level 6 Graduate Diploma in Engineering Wireless and mobile communications Sample Paper You should have the following for this examination one answer book non-programmable calculator pen, pencil,

More information

CHAPTER 10 CONCLUSIONS AND FUTURE WORK 10.1 Conclusions

CHAPTER 10 CONCLUSIONS AND FUTURE WORK 10.1 Conclusions CHAPTER 10 CONCLUSIONS AND FUTURE WORK 10.1 Conclusions This dissertation reported results of an investigation into the performance of antenna arrays that can be mounted on handheld radios. Handheld arrays

More information

Investigation on Multiple Antenna Transmission Techniques in Evolved UTRA. OFDM-Based Radio Access in Downlink. Features of Evolved UTRA and UTRAN

Investigation on Multiple Antenna Transmission Techniques in Evolved UTRA. OFDM-Based Radio Access in Downlink. Features of Evolved UTRA and UTRAN Evolved UTRA and UTRAN Investigation on Multiple Antenna Transmission Techniques in Evolved UTRA Evolved UTRA (E-UTRA) and UTRAN represent long-term evolution (LTE) of technology to maintain continuous

More information

SEVERAL diversity techniques have been studied and found

SEVERAL diversity techniques have been studied and found IEEE TRANSACTIONS ON COMMUNICATIONS, VOL. 52, NO. 11, NOVEMBER 2004 1851 A New Base Station Receiver for Increasing Diversity Order in a CDMA Cellular System Wan Choi, Chaehag Yi, Jin Young Kim, and Dong

More information

Chapter 4 DOA Estimation Using Adaptive Array Antenna in the 2-GHz Band

Chapter 4 DOA Estimation Using Adaptive Array Antenna in the 2-GHz Band Chapter 4 DOA Estimation Using Adaptive Array Antenna in the 2-GHz Band 4.1. Introduction The demands for wireless mobile communication are increasing rapidly, and they have become an indispensable part

More information

The BER Evaluation of UMTS under Static Propagation Conditions

The BER Evaluation of UMTS under Static Propagation Conditions Proceedings of the 5th WSEAS Int. Conf. on System Science and Simulation in Engineering, Tenerife, Canary Islands, Spain, December 16-18, 2006 310 The BER Evaluation of UMTS under Static Propagation Conditions

More information

THE serial advanced technology attachment (SATA) is becoming

THE serial advanced technology attachment (SATA) is becoming IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 11, NOVEMBER 2007 979 A Low-Jitter Spread Spectrum Clock Generator Using FDMP Ding-Shiuan Shen and Shen-Iuan Liu, Senior Member,

More information

BEING wideband, chaotic signals are well suited for

BEING wideband, chaotic signals are well suited for 680 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 51, NO. 12, DECEMBER 2004 Performance of Differential Chaos-Shift-Keying Digital Communication Systems Over a Multipath Fading Channel

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

Combined Rate and Power Adaptation in DS/CDMA Communications over Nakagami Fading Channels

Combined Rate and Power Adaptation in DS/CDMA Communications over Nakagami Fading Channels 162 IEEE TRANSACTIONS ON COMMUNICATIONS, VOL. 48, NO. 1, JANUARY 2000 Combined Rate Power Adaptation in DS/CDMA Communications over Nakagami Fading Channels Sang Wu Kim, Senior Member, IEEE, Ye Hoon Lee,

More information

High Speed & High Frequency based Digital Up/Down Converter for WCDMA System

High Speed & High Frequency based Digital Up/Down Converter for WCDMA System High Speed & High Frequency based Digital Up/Down Converter for WCDMA System Arun Raj S.R Department of Electronics & Communication Engineering University B.D.T College of Engineering Davangere-Karnataka,

More information

Multipath signal Detection in CDMA System

Multipath signal Detection in CDMA System Chapter 4 Multipath signal Detection in CDMA System Chapter 3 presented the implementation of CDMA test bed for wireless communication link. This test bed simulates a Code Division Multiple Access (CDMA)

More information

TELE4652 Mobile and Satellite Communications

TELE4652 Mobile and Satellite Communications Mobile and Satellite Communications Lecture 12 UMTS W-CDMA UMTS W-CDMA The 3G global cellular standard set to supersede GSM Universal Mobile Telecommunication System (UMTS) Slow on the uptake by mid-2008

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

Qualcomm Research DC-HSUPA

Qualcomm Research DC-HSUPA Qualcomm, Technologies, Inc. Qualcomm Research DC-HSUPA February 2015 Qualcomm Research is a division of Qualcomm Technologies, Inc. 1 Qualcomm Technologies, Inc. Qualcomm Technologies, Inc. 5775 Morehouse

More information

Mobile Communication Systems. Part 7- Multiplexing

Mobile Communication Systems. Part 7- Multiplexing Mobile Communication Systems Part 7- Multiplexing Professor Z Ghassemlooy Faculty of Engineering and Environment University of Northumbria U.K. http://soe.ac.uk/ocr Contents Multiple Access Multiplexing

More information

IJPSS Volume 2, Issue 9 ISSN:

IJPSS Volume 2, Issue 9 ISSN: INVESTIGATION OF HANDOVER IN WCDMA Kuldeep Sharma* Gagandeep** Virender Mehla** _ ABSTRACT Third generation wireless system is based on the WCDMA access technique. In this technique, all users share the

More information

W-CDMA for UMTS Principles

W-CDMA for UMTS Principles W-CDMA for UMTS Principles Introduction CDMA Background/ History Code Division Multiple Access (CDMA) Why CDMA? CDMA Principles / Spreading Codes Multi-path Radio Channel and Rake Receiver Problems to

More information

Ten Things You Should Know About MIMO

Ten Things You Should Know About MIMO Ten Things You Should Know About MIMO 4G World 2009 presented by: David L. Barner www/agilent.com/find/4gworld Copyright 2009 Agilent Technologies, Inc. The Full Agenda Intro System Operation 1: Cellular

More information

Cognitive Radio Transmission Based on Chip-level Space Time Block Coded MC-DS-CDMA over Fast-Fading Channel

Cognitive Radio Transmission Based on Chip-level Space Time Block Coded MC-DS-CDMA over Fast-Fading Channel Journal of Scientific & Industrial Research Vol. 73, July 2014, pp. 443-447 Cognitive Radio Transmission Based on Chip-level Space Time Block Coded MC-DS-CDMA over Fast-Fading Channel S. Mohandass * and

More information

Performance Comparison of RAKE and Hypothesis Feedback Direct Sequence Spread Spectrum Techniques for Underwater Communication Applications

Performance Comparison of RAKE and Hypothesis Feedback Direct Sequence Spread Spectrum Techniques for Underwater Communication Applications Performance Comparison of RAKE and Hypothesis Feedback Direct Sequence Spread Spectrum Techniques for Underwater Communication Applications F. Blackmon, E. Sozer, M. Stojanovic J. Proakis, Naval Undersea

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

Multiple Antenna Techniques

Multiple Antenna Techniques Multiple Antenna Techniques In LTE, BS and mobile could both use multiple antennas for radio transmission and reception! In LTE, three main multiple antenna techniques! Diversity processing! The transmitter,

More information

Conformity and Interoperability Training Homologation Procedures and Type Approval Testing for Mobile Terminals

Conformity and Interoperability Training Homologation Procedures and Type Approval Testing for Mobile Terminals Conformity and Interoperability Training Homologation Procedures and Type Approval Testing for Mobile Terminals ITU C&I Programme Training Course on Testing Mobile Terminal Schedule RF Tests (Functional)

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Carrier Frequency Offset Estimation Algorithm in the Presence of I/Q Imbalance in OFDM Systems

Carrier Frequency Offset Estimation Algorithm in the Presence of I/Q Imbalance in OFDM Systems Carrier Frequency Offset Estimation Algorithm in the Presence of I/Q Imbalance in OFDM Systems K. Jagan Mohan, K. Suresh & J. Durga Rao Dept. of E.C.E, Chaitanya Engineering College, Vishakapatnam, India

More information

ETSI SMG#24 TDoc SMG 903 / 97. December 15-19, 1997 Source: SMG2. Concept Group Alpha - Wideband Direct-Sequence CDMA: System Description Summary

ETSI SMG#24 TDoc SMG 903 / 97. December 15-19, 1997 Source: SMG2. Concept Group Alpha - Wideband Direct-Sequence CDMA: System Description Summary ETSI SMG#24 TDoc SMG 903 / 97 Madrid, Spain Agenda item 4.1: UTRA December 15-19, 1997 Source: SMG2 Concept Group Alpha - Wideband Direct-Sequence CDMA: System Description Summary Concept Group Alpha -

More information

Reconfigurable Hybrid Beamforming Architecture for Millimeter Wave Radio: A Tradeoff between MIMO Diversity and Beamforming Directivity

Reconfigurable Hybrid Beamforming Architecture for Millimeter Wave Radio: A Tradeoff between MIMO Diversity and Beamforming Directivity Reconfigurable Hybrid Beamforming Architecture for Millimeter Wave Radio: A Tradeoff between MIMO Diversity and Beamforming Directivity Hybrid beamforming (HBF), employing precoding/beamforming technologies

More information

<3rd generation CDMA wireless systems>

<3rd generation CDMA wireless systems> Page 1 Overview What is 3G? A brief overview of IS95 Key design choices for CDMA 3G systems. Bandwidth Modulation Coding Power Control

More information

6 Uplink is from the mobile to the base station.

6 Uplink is from the mobile to the base station. It is well known that by using the directional properties of adaptive arrays, the interference from multiple users operating on the same channel as the desired user in a time division multiple access (TDMA)

More information

2-2 Advanced Wireless Packet Cellular System using Multi User OFDM- SDMA/Inter-BTS Cooperation with 1.3 Gbit/s Downlink Capacity

2-2 Advanced Wireless Packet Cellular System using Multi User OFDM- SDMA/Inter-BTS Cooperation with 1.3 Gbit/s Downlink Capacity 2-2 Advanced Wireless Packet Cellular System using Multi User OFDM- SDMA/Inter-BTS Cooperation with 1.3 Gbit/s Downlink Capacity KAWAZAWA Toshio, INOUE Takashi, FUJISHIMA Kenzaburo, TAIRA Masanori, YOSHIDA

More information

CDMA Principle and Measurement

CDMA Principle and Measurement CDMA Principle and Measurement Concepts of CDMA CDMA Key Technologies CDMA Air Interface CDMA Measurement Basic Agilent Restricted Page 1 Cellular Access Methods Power Time Power Time FDMA Frequency Power

More information

Field Experiments of 2.5 Gbit/s High-Speed Packet Transmission Using MIMO OFDM Broadband Packet Radio Access

Field Experiments of 2.5 Gbit/s High-Speed Packet Transmission Using MIMO OFDM Broadband Packet Radio Access NTT DoCoMo Technical Journal Vol. 8 No.1 Field Experiments of 2.5 Gbit/s High-Speed Packet Transmission Using MIMO OFDM Broadband Packet Radio Access Kenichi Higuchi and Hidekazu Taoka A maximum throughput

More information

CDMA - QUESTIONS & ANSWERS

CDMA - QUESTIONS & ANSWERS CDMA - QUESTIONS & ANSWERS http://www.tutorialspoint.com/cdma/questions_and_answers.htm Copyright tutorialspoint.com 1. What is CDMA? CDMA stands for Code Division Multiple Access. It is a wireless technology

More information

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Prof. Mahesh M.Gadag Communication Engineering, S. D. M. College of Engineering & Technology, Dharwad, Karnataka, India Mr.

More information

PERFORMANCE ANALYSIS OF DOWNLINK POWER CONTROL IN WCDMA SYSTEM

PERFORMANCE ANALYSIS OF DOWNLINK POWER CONTROL IN WCDMA SYSTEM PERFORMANCE ANALYSIS OF DOWNLINK POWER CONTROL IN WCDMA SYSTEM Dr. M. Mahbubur Rahman, Md. Khairul Islam, Tarek Hassan-Al-Mahmud, A. R. Mahmud Abstract: WCDMA (Wideband Code Division Multiple Access) plays

More information

Implementation of a Real-Time Rayleigh, Rician and AWGN Multipath Channel Emulator

Implementation of a Real-Time Rayleigh, Rician and AWGN Multipath Channel Emulator Implementation of a Real-Time Rayleigh, Rician and AWGN Multipath Channel Emulator Peter John Green Advanced Communication Department Communication and Network Cluster Institute for Infocomm Research Singapore

More information

Multiple Access Schemes

Multiple Access Schemes Multiple Access Schemes Dr Yousef Dama Faculty of Engineering and Information Technology An-Najah National University 2016-2017 Why Multiple access schemes Multiple access schemes are used to allow many

More information

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.3, SEPTEMBER, 2010 185 VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems Jongmin Cho*, Jinsang

More information

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM 1 J. H.VARDE, 2 N.B.GOHIL, 3 J.H.SHAH 1 Electronics & Communication Department, Gujarat Technological University, Ahmadabad, India

More information

Proportional Fair Scheduling for Wireless Communication with Multiple Transmit and Receive Antennas 1

Proportional Fair Scheduling for Wireless Communication with Multiple Transmit and Receive Antennas 1 Proportional Fair Scheduling for Wireless Communication with Multiple Transmit and Receive Antennas Taewon Park, Oh-Soon Shin, and Kwang Bok (Ed) Lee School of Electrical Engineering and Computer Science

More information

PERFORMANCE EVALUATION OF WCDMA SYSTEM FOR DIFFERENT MODULATIONS WITH EQUAL GAIN COMBINING SCHEME

PERFORMANCE EVALUATION OF WCDMA SYSTEM FOR DIFFERENT MODULATIONS WITH EQUAL GAIN COMBINING SCHEME PERFORMANCE EVALUATION OF WCDMA SYSTEM FOR DIFFERENT MODULATIONS WITH EQUAL GAIN COMBINING SCHEME Rajkumar Gupta Assistant Professor Amity University, Rajasthan Abstract The performance of the WCDMA system

More information

Symbol Timing Detection for OFDM Signals with Time Varying Gain

Symbol Timing Detection for OFDM Signals with Time Varying Gain International Journal of Control and Automation, pp.4-48 http://dx.doi.org/.4257/ijca.23.6.5.35 Symbol Timing Detection for OFDM Signals with Time Varying Gain Jihye Lee and Taehyun Jeon Seoul National

More information

Lecture 3: Wireless Physical Layer: Modulation Techniques. Mythili Vutukuru CS 653 Spring 2014 Jan 13, Monday

Lecture 3: Wireless Physical Layer: Modulation Techniques. Mythili Vutukuru CS 653 Spring 2014 Jan 13, Monday Lecture 3: Wireless Physical Layer: Modulation Techniques Mythili Vutukuru CS 653 Spring 2014 Jan 13, Monday Modulation We saw a simple example of amplitude modulation in the last lecture Modulation how

More information

Joint Transmitter-Receiver Adaptive Forward-Link DS-CDMA System

Joint Transmitter-Receiver Adaptive Forward-Link DS-CDMA System # - Joint Transmitter-Receiver Adaptive orward-link D-CDMA ystem Li Gao and Tan. Wong Department of Electrical & Computer Engineering University of lorida Gainesville lorida 3-3 Abstract A joint transmitter-receiver

More information

Direction of Arrival Estimation in Smart Antenna for Marine Communication. Deepthy M Vijayan, Sreedevi K Menon /16/$31.

Direction of Arrival Estimation in Smart Antenna for Marine Communication. Deepthy M Vijayan, Sreedevi K Menon /16/$31. International Conference on Communication and Signal Processing, April 6-8, 2016, India Direction of Arrival Estimation in Smart Antenna for Marine Communication Deepthy M Vijayan, Sreedevi K Menon Abstract

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Downlink Scheduling in Long Term Evolution

Downlink Scheduling in Long Term Evolution From the SelectedWorks of Innovative Research Publications IRP India Summer June 1, 2015 Downlink Scheduling in Long Term Evolution Innovative Research Publications, IRP India, Innovative Research Publications

More information

By Nour Alhariqi. nalhareqi

By Nour Alhariqi. nalhareqi By Nour Alhariqi nalhareqi - 2014 1 Outline Basic background Research work What I have learned nalhareqi - 2014 2 DS-CDMA Technique For years, direct sequence code division multiple access (DS-CDMA) appears

More information

Technical Aspects of LTE Part I: OFDM

Technical Aspects of LTE Part I: OFDM Technical Aspects of LTE Part I: OFDM By Mohammad Movahhedian, Ph.D., MIET, MIEEE m.movahhedian@mci.ir ITU regional workshop on Long-Term Evolution 9-11 Dec. 2013 Outline Motivation for LTE LTE Network

More information

3G TS V2.0.0 ( )

3G TS V2.0.0 ( ) 3GPP TSG R1#7(99) e25 3G TS 25.224 V2.0.0 (1999-09) Reference Technical Specification 3 rd Generation Partnership Project (3GPP); Technical Specification Group Radio Access Network; Physical Layer Procedures

More information

Channel Estimation Algorithms for Third Generation W-CDMA Communication Systems

Channel Estimation Algorithms for Third Generation W-CDMA Communication Systems Channel Estimation Algorithms for Third Generation W-CDMA Communication Systems halid A. Qaraqe Tality Corporation 555 River Oaks Parkway San Jose, CA 9534 kqaraqe@tality.com Sonia Roe Cisco Systems, nc.

More information

CHAPTER 6 JOINT SUBCHANNEL POWER CONTROL AND ADAPTIVE BEAMFORMING FOR MC-CDMA SYSTEMS

CHAPTER 6 JOINT SUBCHANNEL POWER CONTROL AND ADAPTIVE BEAMFORMING FOR MC-CDMA SYSTEMS CHAPTER 6 JOINT SUBCHANNEL POWER CONTROL AND ADAPTIVE BEAMFORMING FOR MC-CDMA SYSTEMS 6.1 INTRODUCTION The increasing demand for high data rate services necessitates technology advancement and adoption

More information

TSTE17 System Design, CDIO. General project hints. Behavioral Model. General project hints, cont. Lecture 5. Required documents Modulation, cont.

TSTE17 System Design, CDIO. General project hints. Behavioral Model. General project hints, cont. Lecture 5. Required documents Modulation, cont. TSTE17 System Design, CDIO Lecture 5 1 General project hints 2 Project hints and deadline suggestions Required documents Modulation, cont. Requirement specification Channel coding Design specification

More information