Batch Fabrication of Metasurface Holograms Enabled by Plasmonic Cavity Lithography

Size: px
Start display at page:

Download "Batch Fabrication of Metasurface Holograms Enabled by Plasmonic Cavity Lithography"

Transcription

1 FULL PAPER Holography Batch Fabrication of Metasurface Holograms Enabled by Plasmonic Cavity Lithography Liqin Liu, Xiaohu Zhang, Zeyu Zhao, Mingbo Pu, Ping Gao, Yunfei Luo, Jinjin Jin, Changtao Wang, and Xiangang Luo* Metasurface holograms consisting of nanostructures have shown great promise for various applications due to their unique capability of shaping light. Usually, they are fabricated by point-by-point scanning method, such as focused ion beam and electron beam lithography, which would greatly hamper their applications due to the high cost and low yield. In this work, plasmonic cavity lithography is proposed to fabricate metasurface holograms. The lithography system consists of Cr mask and plasmonic cavity that compose of 20 nm Ag/30 nm photoresist/50 nm Ag, where an air separation layer exists between them to avoid contamination and damage of mask patterns. The simulated results show that the cavity can effectively amplify the evanescent waves and modulate the electric field components on imaging plane, resulting in greatly improved resolution and fidelity compared to near field and superlens lithography. In experiments, the Au metaholograms are fabricated by the proposed lithography method and following etching processes. Furthermore, the designed holographic image of character E is successfully observed with the fabricated hologram. This approach is believed to open up a batch fabrication way for reproducing many copies of a metasurface hologram. 1. Introduction Recently, metasurface holograms characterized with nanostructures have attracted a lot of attention due to their extraordinary ability of shaping light, [1 6] delivering various practical applications in holographic imaging, anticounterfeiting trademark, storage, and so on. However, almost all previously reported metasurfaces were fabricated by point-by-point scanning methods, [7 18] such as electronic beam lithography (EBL) and focused ion beam (FIB), and the poor efficiency imposes a serious barrier for large scale production and applications. L. Q. Liu, X. H. Zhang, Prof. Z. Y. Zhao, Prof. M. B. Pu, P. Gao, Y. F. Luo, J. J. Jin, Prof. C. T. Wang, Prof. X. G. Luo State Key Laboratory of Optical Technologies on Nano-Fabrication and Micro-Engineering Institute of Optics and Electronics Chinese Academy of Sciences P.O. Box 350, Chengdu , China lxg@ioe.ac.cn L. Q. Liu, X. H. Zhang, J. J. Jin University of Chinese Academy of Sciences Beijing , China DOI: /adom Therefore, it is of great significance to find a high yield nanofabrication method. Nanoimprint lithography seems to be a candidate, but it still needs further improvement in defect control, alignment, etc. [19,20] The conventional lithography with ultraviolet light source has the advantages of low-cost and large area. [21,22] But, its resolution can only reach about one half of the wavelength due to the optical diffraction limit. The reason is the evanescent waves that carry the object s subwavelength information decay exponentially in a medium with positive permittivity and permeability, and thus could not contribute to the imaging. In order to improve the resolution, various technologies have been exploited, such as reducing the light wavelength by employing deep or even extreme ultraviolet light sources, [23] improving numerical aperture by utilizing immersion lenses with high index materials. [24] This inevitably requires complex projecting optics and control methods, not being affordable for common researchers. The limited refractive index also limits the further improvement of resolution. The near field lithography was proposed and was subsequently demonstrated to address the diffraction limit of resolution. [25 28] However, the great decaying feature of evanescent waves brings the imaging resist patterns with shallow depth and poor fidelity. In 2000, Pendry first proposed the concept of perfect lens capable of amplifying the evanescent waves by a negative index slab to overcome the diffraction limit. [29] This precursive research rapidly intrigues researchers interest on plasmonic lithography and super-resolution imaging. In 2004, silver grating with 300 nm period and 50 nm slit width is utilized to excite surface plasmonics (SPs), forming image of interference patterns with 100 nm period and 50 nm line width (about λ/9) in photoresist (Pr) layer. [30] In 2005, a superlens of Ag film was experimentally verified to achieve the 60 nm line width (about λ/6). [31] Subsequently, a series of theoretical and experimental investigations was developed to further improve resolution, depth, and fidelity, such as by utilizing the smooth superlens, reflective lens, and plasmonic cavity. [32 38] The latest research results show that the plasmonic cavity associated with off-axis illumination could further improve the imaging resolution and enlarge the working distance between the mask and (1 of 10)

2 imaging. [32] Compared to the point-by-point scanning type nanofabrication tools, plasmonic imaging lithography shows a great advantage in efficiency due to its one-step exposure. Also, it could realize deep subwavelength resolution far beyond the near field diffraction limit. [39] It is worth to note that most experimental investigations are focused on the resolution issue with results of dense nanolines, and few efforts are attributed to the plasmonic imaging lithography for fabricating complex arbitrary nanopatterns of functional devices, which impedes the extensive application of this technology. In this paper, we propose the plasmonic cavity lithography for the batch fabrication of metasurface holograms with anisotropic nanoapertures to solve the efficiency problem faced by current point-by-point approach. The principle is based on the fact that plasmonic cavity composed of Ag/Pr/Ag could amplify and modulate the electric field components of evanescent waves to improve imaging performance, and enlarge the working distance between mask patterns and cavity so as to relieve the contamination and damage of mask patterns. This point is demonstrated by the simulated transmission amplitude of electromagnetic field inside the cavity. In experiment, using the designed lithography associated with multilayer etching transfer technique, the Au holograms as a demo sample with nanoaperture size about nm 2 and pattern s area 9 9 µm 2 are achieved, meanwhile the designed holographic image is successfully observed. 2. Principle and Configuration for Plasmonic Cavity Lithography The schematic configuration of plasmonic cavity lithography in separated mode is shown in Figure 1a. The thickness parameters of the cavity that composes of 20 nm Ag/30 nm Pr/50 nm Ag and 25 nm separated distance have been optimized, as depicted in Figure S1 (Supporting Information). A plane wave with 365 nm wavelength and in natural polarization normally illuminates on the Cr mask. To generate 25 nm air separation between mask patterns and cavity, a 25 nm thick Cr grating with 80 µm period (line/space = 1) as a spacer is placed around the mask pattern s region. The plasmonic cavity is physically contacted with Cr spacer by air pressure in experiment. In addition, a 20 nm thick SiO 2 hard film below the cavity is for pattern s transfer, and a 50 nm thick Au film on the fused silica substrate is for fabricating metasurface hologram. Figure 1b displays the top view of the designed Cr mask patterns with nanoaperture size nm 2, period 300 nm, and pattern s area 9 9 µm 2. Under 365 nm working wavelength, the relative permittivity of materials used for simulation are ε Cr = i, ε air = 1, ε Ag = i, ε Pr = [40] Compared to lithography structures for near field and superlens, the designed plasmonic cavity could greatly improve the imaging performance. To explain the principles of physics, Figure 2 presents the calculated transmission amplitudes of magnetic field H y and electric field E x and E z under the three structures sketched in the insets of Figure 2b d, marked with I, II, and III, respectively. Here, only the incident light in TM (transverse magnetic) polarization is taken into account, since the light in TE (transverse electric) polarization could not excite the surface plasmon waves. In Figure 2a, it is clear that both the superlens and plasmonic cavity could enhance the transmission amplitude of evanescent waves, indicating higher resolution than that of near field. However, the electric field components decide the light field of imaging lithography. Figure 2b,c exhibits the transmissions of E x and E z electric field components. It is worth noting that E x component makes the positive contribution for image, while the E z component makes the negative contribution due to the π/2 phase shift between E x and E z for evanescent waves. [41,42] In comparison with the E x and E z transmission amplitudes for near field and superlens structures, the E x of cavity is greatly enhanced and the E z is depressed to k x < 6k 0, which is believed to play a key role in resolution improvement. This point would be further verified by the following simulated imaging results in Figure 3. In addition, one should note that the two sharp and strong peaks of dashed blue curves in Figure 2a,c represent the plasmonic Figure 1. a) The schematic of plasmonic cavity lithography system in separated mode. b) The top view of the designed Cr mask patterns (2 of 10)

3 Figure 2. Transmission amplitudes of a) Hy magnetic field and b d) Ex, Ez electric field for the three structures sketched in the insets of (b) (d), the incident light with 365 nm wavelength and in TM polarization. The input and observation planes are, respectively, fixed at the air surface and the middle of Pr layer. The incident magnetic field is 1, and the electric field intensity is normalized. Figure 3. The top views of light field distributions in the form of Ex 2 + Ey 2 + Ez 2, Ex 2, Ey 2, and Ez 2 under the left corresponding schematic structures, and the observation plane is fixed at the middle of Pr layer: a d) for near field lithography, e h) for superlens lithography, i l) for plasmonic cavity lithography (3 of 10)

4 resonant modes in the superlens, which leads to the image distortion. 3. The Imaging Simulation and Analysis For simplified calculation and comparative analysis of the imaging performance, Figure 3 gives the simulated light field distributions of nanoaperture unit for different lithography structures. Considering the nanoapertures in variant orientations shown in Figure 1b, here the used incident light is configured with normal illumination and natural polarization. The all electric field components including E x 2, E y 2, and E z 2 should be considered in photosensitive imaging of rectangle nanoapertures. Viewing from the simulated results in Figure 3, it appears to the polarization selectivity for different electric field components because of the waveguide effect of the rectangle nanoaperture. Specially, the E x 2 is the main component with high light intensity and the E y 2 could be ignored for the small light intensity. However, for the three structures, the E z 2 makes negative contribution due to π/2 phase shift. Compared with the light field of E x 2 + E y 2 + E z 2, it is obvious that the cavity structure shows the best imaging fidelity for mask patterns among the given three structures, as shown in Figure 3i. The main reason is that the cavity could effectively enhance E x 2 and damp E z 2, and the calculated ratios of E x 2 max/ E z 2 max are about 7 for near field, about 1 for superlens, and about 25 for cavity. This point agrees well with the electromagnetic field analysis in Figure 2. Arising from the optical diffraction effect, the near field lithography shows broadened in the short axis direction, resulting in the almost completely depressed anisotropy of the nanoaperture shown in Figure 3a. Arising from the ratio of E x 2 / E z 2 is nearly equal to 1, the superlens lithography generates the completely distortion pattern as displayed in Figure 3e. To evaluate the cross-sectional light field performance, the light field distributions in the x z plane along the short axis direction of nanoaperture are also studied, as present in Figure 4a,b. Here, the superlens lithography is not considered for the poor imaging behavior depicted in Figure 3e. For the plasmonic cavity lithography, the incident light intensity could be effectively coupled to Pr layer and generate image with high fidelity as exhibited in Figure 4a. While for near field lithography, as shown in Figure 4b, the light intensity is quite weak in Pr layer due to the rapidly decaying property of evanescent waves. For further quantitative analysis, Figure 4c,d, respectively, gives the width size of image along z direction and light intensity distribution along x = 0 direction. For plasmonic cavity lithography, its width in Pr middle layer is about 2.5 times smaller than that of near field lithography, and the light intensity is about 2.5 times higher than that of near field lithography. Furthermore, to evaluate the influences of separation air thickness on width size of image and the image contrast, Figure 4e,f provides the simulated results. With the increase of air thickness, the calculated results show that width size would gradually increase and the contrast would gradually decrease at the same time. For plasmonic cavity lithography, it has the smaller image distortion and the higher contrast than that of near field lithography for the same air thickness. Besides, the simulated y z plane light intensity distributions in the long axis direction of nanoaperture and the influences of air thickness on imaging performance are also given in Figure S2 (Supporting Information), which are in analogy to the case of short axis direction. Here 25 nm thick air separation is chosen in the designed lithography, because in this case the simulated image contrast is beyond 0.9 for the two axial directions and the image size is enlarged to nm 2 for the mask with nanoaperture size nm 2. In order to further demonstrate the whole imaging effect, the light intensity distributions of the arrayed nanoapertures displayed in Figure 1b are also simulated. Figure 5a illustrates the distinguishable and uniform imaging patterns in the middle of Pr layer, which closely resembles the used mask patterns. Figure 5b gives the cross-sectional light field distributions in x z plane. Clearly, the light field in Pr layer shows strong intensity and uniform distribution inside Pr layer, which would effectively ensure the exposure depth. Figure 5c further proves this point, showing nearly equal intensity and similar profiles in Pr layer for z being equal to 85, 100, and 115 nm, respectively. 4. Experiment Results and Discussion 4.1. Lithography To keep a certain distance between mask patterns and plasmonic cavity, a 25 nm thick groove was fabricated in Cr mask, as exhibited in Figure 6a. Mask patterns shown in Figure 6b were prepared by FIB milling inside the groove, with nanoaperture size (60 ± 5) (170 ± 5) nm 2, period 300 nm, and area size 9 9 µm 2. Figure 6c illustrates the cross-section of the sample comprising 20 nm Ag/30 nm Pr/50 nm Ag/20 nm SiO 2 /50 nm Au films for plasmonic cavity lithography. The proposed plasmonic cavity lithography is performed to demonstrate the fabrication for metaholograms. Figure 6a presents the recorded Pr patterns with nanoaperture size (160 ± 4.8) (238 ± 5.8) nm 2, and the details of optimization of exposure dose are shown in Figure S3 (Supporting Information). Obviously, the achieved Pr nanoapertures are distinguishable with anisotropy. Therefore, the experimental results suggest that the plasmonic cavity lithography could effectively break the diffraction limit and be used to fabricate anisotropic nanoapertures, verifying the above-mentioned principle and simulated light field shown in Figure 3i. But, the near field lithography as the control experiment generates the almost circular Pr patterns without anisotropy, regardless of the optimization of lithographic process (in Figure S4 in the Supporting Information), which also agrees well with the simulated light field shown in Figure 3a. Besides, it is worth mentioning that Pr nanoaperture size about nm 2 is much larger than that of mask nanoaperture size about nm 2, and the calculated increased values are about 2.7 and 1.4 times for width and length, respectively. However, the size increase in the short axis direction is much larger than that of in the long axis direction. This behaves like optical proximity effect (OPE), [43] which is the diffraction effect of light generated from mask patterns and generates (4 of 10)

5 Figure 4. The simulated light field distributions in the x z plane along the short axis direction of nanoaperture for a) plasmonic cavity lithography and b) near field lithography. c) The width size of light field from z = 0 to 75 nm in (a) and z = 0 to 55 nm in (b) by 5 nm step, where the width size is calculated by full width at 90% light intensity. d) The light intensity distributions at x = 0 nm in (a) and (b). e,f) The influences of air thickness on the width size of image in the middle of Pr layer and the image contrast, and the other parameters are the same as (a) and (b). Figure 5. a) Calculated light field distributions at the middle of Pr layer for 365 nm natural polarized incident light. b) The top graph is the local magnified of the green dashed line region, and the bottom graph is the cross-sectional light intensity distributions in x z plane for the top graph. c) The light intensity distributions are plotted at different z positions in Pr layer (5 of 10)

6 Figure 6. a) The 3D AFM image of the Cr mask with Cr spacer, and the right graph shows the 25 nm air separation. b) The SEM image of mask patterns. c) The SEM cross-sectional image of the sample. d) The SEM image of Pr patterns generated from the plasmonic cavity lithography. e) The SEM image of Pr patterns generated from control experiment. deviation between mask patterns and Pr patterns. The OPE effect is more obvious with smaller size. During the design of mask patterns, the OPE effect is corrected by increasing the aspect ratio of the nanoaperture Pattern s Etching Transfer To obtain the Au metaholograms, further etching transfer processes have been accomplished by ion beam etching (IBE), dry etching, and hydrogen fluoride (HF) solution wet etching. The schematic of etching procedure is shown in Figure 7a, and the etching details are presented in the Experimental Section. The Pr patterns are successively transferred to the 50 nm Ag/20 nm SiO 2 /50 nm Au films, and the corresponding scanning electronic microscope (SEM) images are exhibited in Figure 7b d, respectively. As shown in Figure 7d, the Au metaholograms are successfully achieved, and the Au nanoaperture size is (95 ± 6) (175 ± 6) nm 2, the period and pattern s area are the same as the designed value. Figure 7e further gives the atomic force microscope (AFM) image of Au metaholograms with depth about 53 nm exhibited in Figure 7f, indicating that the 50 nm thick Au film has been completely etched. From mask patterns to Pr patterns and then to the Au patterns, the varied ratios of length/width deserve attention. Owing to 25 nm air separation and the OPE effect, the ratio for simulated Pr patterns is about 2.1 (209/99 nm) in spite of the ratio for mask patterns about 2.8 (170/60 nm). The ratio for experimental Pr patterns is about 1.5 (238/160 nm), for which the experimental ratio deviation is acceptable as it would be brought from many factors, such as lithographic process, fabricated deviation, the film roughness, etc. Fortunately, owing to the shadowing effect, [44 46] during the IBE etching process, the ratio of Au patterns is about 1.8 (175/95 nm). During IBE etching, the ion beams impinge the sample on a rotated platform with 30 oblique angle. As a result, the etching rate in the middle region of antenna pattern would be faster than the shadow region as the ion beams are partially screened by the Pr wall. Compared to the point-by-point scanning type nanofabrication tools, such as FIB and EBL, the presented method shows huge superiority due to its one-step exposure. Moreover, lithography patterns or functional devices could be obtained in batches because of the high repeating utilization factor of the lithography mask (see Figure S5 in the Supporting Information that shows 12 times lithography results using the same mask). Meanwhile, the following film deposition or etching progress could also be achieved in batches, which will significantly improve the fabrication efficiency and reduce cost of the preparation. Furthermore, the surface plasmonic lithography possesses the ability of large exposure area and stepper lithography. [47] Besides, there is no limit to the material attached to the photoresist. For instance, TiO 2, Si, Ag, or Cr based devices could be realized with the corresponding etching processes. For FIB processing, only the conductive material based devices could be fabricated. As a consequence, it can provide a parallel, batch, and super-resolution lithography method, which could be used in the fabrication processing of different functional metasurface devices. The device quality would be improved by some efforts. The rough edge of Au nanoaperture is mainly caused by the initial rough Pr patterns and nonsteep etching process. Line edge (6 of 10)

7 Figure 7. a) The schematic of pattern s transfer by IBE dry etching and HF wet etching. b d) The SEM images of the corresponding etching patterns in (a). e) The 2D AFM image of Au patterns in (d). f) The plot of Au pattern s depth in (e). roughness of Pr patterns would be decreased by employing a new Pr with smaller molecule material and higher contrast. The further optimization for etching angle and power would improve the etching steepness The Holographic Image of Au Metaholograms The Au metaholograms were designed by point source algorithm,[48,49] and the Fresnel diffraction theory has been used to verify the correctness of the design. The Gaussian distributed pseudorandom phase X 2πN (µ, σ2), with µ = 0 and σ = 1, is added to each point source to make the amplitude of the computer generated holograms (CGH) for the target object more uniform.[48] Figure 8a gives the phase holograms for target object in the form of character E consisted of 22 points as shown in the inset. The holograms are coded by the arrayed nanoapertures with variant orientations, size nm2, period 300 nm, and pattern s area 9 9 µm2, as shown in Figure 8b. The simulation energy efficiency of the nanostructure is about 4.47%. The low efficiency can be increased with reflectarrays[50] and dielectric metasurface[51,52] (more simulated details about the nanoaperture unit are shown in Figure S6 in the Supporting Information). The fabricated nanoaperture size (95 ± 6) (175 ± 6) nm2 has a little deviation with the designed nm2, which is acceptable for the experiment demonstrations. The designed holographic image is 15 µm above the hologram sample under nm working wavelength (7 of 10)

8 Figure 8. a) The designed phase holograms with pixels, the inset shows the holographic object in the form of character E consisting of 22 points. b) The configuration of the holograms coded by arrayed nanoapertures with variant orientations, the local amplification is shown in the inset. c) The experimental setup for testing the fabricated hologram sample, LP: linear polarizer, QWP: quarter wave plate. d,e) The simulated and experimental results for holographic images, respectively. f) The normalized intensity distributions along the arrow direction in (d) and (e). Figure 8c provides the schematic of the experimental optical setup to test the effect of the fabricated hologram sample. The linear polarizer (LP 1 ) and quarter wave plate (QWP 1 ) after the laser are used to produce the incidence light in left-handed circular polarization. To improve the incident power for the sample with pattern s area 9 9 µm 2, a relative long-focus objective ( 10) before the sample is used to focus the light. Owing to small image size with micrometer order, the objective ( 100) after the sample is utilized to magnify the experimental image for convenience detection by charge coupled device (CCD). To avoid the disturbance coming from incident light, the transmission light without cross-polarization is filtered by a pair of QWP 2 and LP 2. More experimental test details are shown in the Experimental Section. The simulated image generated from Fresnel diffraction is shown in Figure 8d. The size of the E is 10 µm along x axis and 16 µm in y axis, which is in accordance with the target object shown in the inset of Figure 8a. Figure 8e illustrates (8 of 10)

9 the measured holographic image located at 14 µm above the sample, which possesses some experimental error compared to the simulated 15 µm. Figure 8f presents the normalized intensity distributions of the image along the arrow direction in Figure 8d,e. It is obvious that the achieved character E is distinguishable and agrees well with the simulated results in Figure 8a, except for the slight deviation of the image location. 5. Conclusion In this study, metaholograms have been successfully fabricated by the designed plasmonic cavity lithography and multilayer etching transfer technologies, which contain arrayed nanoapertures with unit size about nm 2, period 300 nm, and pattern s area 9 9 µm 2. The designed lithography system under 365 nm working wavelength consists of Cr mask and cavity composed of 20 nm Ag/30 nm Pr/50 nm Ag. Numerical simulations show that the cavity could effectively deliver amplification of evanescence waves and modulate the electric field components on imaging plane, resulting in greatly improved resolution and fidelity compared to other lithography like near field and superlens. Also, the cavity helps to enlarge the air working distance and relieves mask pattern s contamination and damage by 25 nm thick air separation layer. The holographic image of character E is successfully realized by utilizing the fabricated holograms. We believe that it provides a parallel, batch, and super-resolution lithography method for the fabrication of functional metasurface devices with different applications, such as color display, biosensor, polarizer, etc. 6. Experimental Section Numerical Methods of Simulations: Rigorous coupled wave analysis (RCWA) was employed to calculate the H-field transmission factor in Figure 2 and Figure S1 (Supporting Information). The RCWA code was written based on the equations in ref. [53]. The light field distributions shown in Figures 3, 4, 5 and Figure S2 (Supporting Information), and the calculating of phase change and transmission efficiency of the transmitted light in Figure S6 (Supporting Information) were simulated using commercial software CST MWS. Preparation for Mask Patterns and Spacer: First, 25 nm thick Cr film was deposited on clean fused silica substrate by magnetron sputtering (DE500, DE Technology Limited). Second, Cr grating with 80 µm period and 40 µm line width was fabricated utilizing ultraviolet lithography and wet etching technique, which were used to control the separated distance between mask patterns and the cavity. Third, 40 nm thick Cr film was deposited on this Cr grating. Finally, mask patterns were fabricated on the 40 nm thick Cr film by FIB (Helios Nanolab 650, FEI accelerating voltage), of which the aperture unit size was about nm 2, the period was 300 nm, and the pattern s area was 9 9 µm 2. Sample Preparation: The 50 nm thick Au film and 20 nm thick SiO 2 film were deposited on fused silica substrate by magnetron sputtering without breaking vacuum, respectively. Then, 50 nm thick Ag film was deposited on the Au film by vacuum thermal evaporation with a base pressure Pa and deposition rate 5 nm s 1. The 30 nm thick AR-P 3170 positive Pr (All-Resist GmbH, Strausberg) was spin-coated on this Ag film, and then was baked at 100 C for 5 min to drive off the residual solvent. Finally, the 20 nm thick Ag film was deposited on the Pr film by vacuum thermal evaporation with deposition rate 1 nm s 1. Exposure and Development Processes: The proposed lithography system was performed under a mercury lamp light source with wavelength 365 ± 5 nm and divergence angle ±3. To assure the vacuum proximity between the mask spacer and the cavity, 0.5 MPa air pressure was applied. The irradiation intensity was 0.85 mw cm 2, and the exposure time ranged from 60 to 100 s for optimization of the exposure dose. After exposure, the top 20 nm thick Ag film was peeled off by 3M adhesive tape. Then, the optical image recorded on the Pr was developed for 40 s in a diluted solution of AR (All-Resist GmbH, Strausberg) by water in 1:1 volume ratio, rinsed by deionized water, and dried by N 2 in order. For control experiment, the sample only included 30 nm thick Pr and fused silica substrate, and the exposure time ranged from 70 to 110 s, with the same development condition as the above. Pattern s Etching Transfer: The Au metaholograms were accomplished by transferring Pr patterns to Au film via using multilayer etching technology. Figure 7a describes the schematic of etching procedures. In this paper, all metal films were etched by IBE with 50 ma beam current and the 30 etching angle. The etching rates of Ag and Pr were 15 nm min 1, and that of Au was about 10 nm min 1. The 20 nm thick SiO 2 was etched for about 12 s in 3% HF solution with 40% NH 4 F as buffer. Pattern s Measurement: The Cr film thickness and the parameters of Cr grating were measured by surface profiler (Alpha step IQ3, KLA- Tencor). The cross-section of sample and the patterns of Pr, Ag, and SiO 2 were measured by SEM (SU8010, Hitachi). The cross-section of sample was prepared by ion milling system (IM4000, Hitachi). The separation distance of mask patterns and Au pattern s depth were measured by AFM (NT-MDT NTEGRA Spectra). The Details in Experimental Test for Holographic Image: A precision positioning stage was used to control the distance between the metahologram sample and the magnifying objective. First, the Au metaholograms were clearly imaged on the CCD, and the current distance was marked as 0 µm, then, the distance was gradually increased to observe the change of the image on the CCD. With the increase of the distance, the image of holograms would gradually become vague and disappear due to the out of focus, meanwhile the E holographic image would slowly appear and change from vagueness to clearness and then vagueness. The distance for clearest E image is regarded as the actual location of the holographic image. Here, the clearest image was found 14 µm above the sample, which was slightly deviated from the theory position 15 µm. Supporting Information Supporting Information is available from the Wiley Online Library or from the author. Acknowledgements The authors L.Q.L., X.H.Z., and Z.Y.Z. contributed equally to this work. This work was supported by the 973 Program of China (No. 2013CBA01700) and the National Natural Science Foundation of China (Nos , ). Conflict of Interest The authors declare no conflict of interest. Keywords metasurface holography, nanostructure fabrication, plasmonic lithography, super-resolution Received: May 5, 2017 Revised: July 19, 2017 Published online: (9 of 10)

10 [1] K. Huang, H. Liu, F. J. Garcia-Vidal, M. H. Hong, B. Luk yanchuk, J. H. Teng, C. W. Qiu, Nat. Commun. 2015, 6, [2] W. T. Chen, K. Y. Yang, C. M. Wang, Y. W. Huang, G. Sun, I. Chiang, C. Y. Liao, W. L. Hsu, H. T. Lin, S. Sun, Nano Lett. 2013, 14, 225. [3] L. Zhou, Y.-F. Zhu, Y. Zhou, B.-L. Gao, Q.-D. Ou, Adv. Opt. Mater. 2017, 5, [4] G. X. Li, S. M. Chen, Y. Cai, S. Zhang, K. W. Cheah, Adv. Opt. Mater. 2014, 2, 389. [5] L. Zhang, S. Mei, K. Huang, C. W. Qiu, Adv. Opt. Mater. 2016, 4, 818. [6] X. Li, L. Chen, Y. Li, X. Zhang, M. Pu, Z. Zhao, X. Ma, Y. Wang, M. Hong, X. Luo, Sci. Adv. 2016, 2, e [7] Y. W. Huang, W. T. Chen, W. Y. Tsai, P. C. Wu, C. M. Wang, G. Sun, D. P. Tsai, Nano Lett. 2015, 15, [8] X. Ni, A. V. Kildishev, V. M. Shalaev, Nat. Commun. 2013, 4, [9] M. Pu, X. Ma, X. Li, Y. Guo, X. Luo, J. Mater. Chem. C 2017, 5, [10] B. Wang, F. Dong, Q.-T. Li, D. Yang, C. Sun, J. Chen, Z. Song, L. Xu, W. Chu, Y.-F. Xiao, Q. Gong, Y. Li, Nano Lett. 2016, 16, [11] D. D. Wen, S. M. Chen, F. Y. Yue, K. L. Chan, M. Chen, M. Ardron, K. F. Li, P. W. H. Wong, K. W. Cheah, E. Y. B. Pun, G. X. Li, S. Zhang, X. Z. Chen, Adv. Opt. Mater. 2016, 4, 321. [12] X. Zhang, J. Jin, Y. Wang, M. Pu, X. Li, Z. Zhao, P. Gao, C. Wang, X. Luo, Sci. Rep. 2016, 6, [13] X. G. Luo, M. B. Pu, X. Li, X. L. Ma, Light: Sci. Appl. 2017, 6, e [14] G. Zheng, H. Mühlenbernd, M. Kenney, G. Li, T. Zentgraf, S. Zhang, Nat. Nanotechnol. 2015, 10, 308. [15] Z. Chen, M. Hong, H. Dong, Y. Gong, C. Lim, L. Shi, T. Chong, Appl. Phys. A: Mater. Sci. Process. 2010, 101, 33. [16] Z. Chen, M. Hong, C. Lim, N. Han, L. Shi, T. Chong, Appl. Phys. Lett. 2010, 96, [17] M. Pu, X. Li, X. Ma, Y. Wang, Z. Zhao, C. Wang, C. Hu, P. Gao, C. Huang, H. Ren, Sci. Adv. 2015, 1, e [18] D. Tang, C. Wang, Z. Zhao, Y. Wang, M. Pu, X. Li, P. Gao, X. Luo, Laser Photonics Rev. 2016, 9, 713. [19] S. Barcelo, Z. Li, Nano Convergence 2016, 3, 21. [20] S. Y. Chou, P. R. Krauss, P. J. Renstrom, J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct. 1996, 14, [21] B. J. Lin, C. R. Phys. 2006, 7, 858. [22] S. Bagheri, H. Giessen, F. Neubrech, Adv. Opt. Mater. 2014, 2, [23] B. Paivanranta, A. Langner, E. Kirk, C. David, Y. Ekinci, Nanotechnology 2011, 22, [24] Q. Yuan, X. Wang, Z. Qiu, Optik 2009, 120, 325. [25] M. M. Alkaisi, R. J. Blaikie, S. J. McNab, Adv. Mater. 2001, 13, 877. [26] T. Ono, M. Esashi, Jpn. J. Appl. Phys. 1998, 37, [27] Y. Inao, S. Nakasato, R. Kuroda, M. Ohtsu, Microelectron. Eng. 2007, 84, 705. [28] S. Wegscheider, A. Kirsch, J. Mlynek, G. Krausch, Thin Solid Films 1995, 264, 264. [29] J. B. Pendry, Phys. Rev. Lett. 2000, 85, [30] X. Luo, T. Ishihara, Appl. Phys. Lett. 2004, 84, [31] N. Fang, H. Lee, C. Sun, X. Zhang, Science 2005, 308, 534. [32] Z. Zhao, Y. Luo, W. Zhang, C. Wang, P. Gao, Y. Wang, M. Pu, N. Yao, C. Zhao, X. Luo, Sci. Rep. 2015, 5, [33] H. Liu, B. Wang, L. Ke, J. Deng, C. C. Choy, M. S. Zhang, L. Shen, S. A. Maier, J. H. Teng, Adv. Funct. Mater. 2012, 22, [34] Z. Liu, H. Lee, Y. Xiong, C. Sun, X. Zhang, Science 2007, 315, [35] T. Xu, L. Fang, J. Ma, B. Zeng, Y. Liu, J. Cui, C. Wang, Q. Feng, X. Luo, Appl. Phys. B: Lasers Opt. 2009, 97, 175. [36] C. Wang, P. Gao, Z. Zhao, N. Yao, Y. Wang, L. Liu, K. Liu, X. Luo, Opt. Express 2013, 21, [37] G. Liang, C. Wang, Z. Zhao, Y. Wang, N. Yao, P. Gao, Y. Luo, G. Gao, Q. Zhao, X. Luo, Adv. Opt. Mater. 2015, 3, [38] P. Gao, X. Li, Z. Zhao, X. Ma, M. Pu, C. Wang, X. Luo, Opt. Commun. 2017, [39] X. G. Luo, Sci. China: Phys., Mech. Astron. 2015, 58, [40] J. Luo, B. Zeng, C. Wang, P. Gao, K. Liu, M. Pu, J. Jin, Z. Zhao, X. Li, H. Yu, X. Luo, Nanoscale 2015, 7, [41] Q. Huang, C. Wang, N. Yao, Z. Zhao, Y. Wang, P. Gao, Y. Luo, W. Zhang, H. Wang, X. Luo, Plasmonics 2014, 9, 699. [42] W. Zhang, N. Yao, C. Wang, Z. Zhao, Y. Wang, P. Gao, X. Luo, Plasmonics 2014, 9, [43] T. Alexander, F. Jo, R. John, R. Kurt, V. Luc, Jpn. J. Appl. Phys. 1998, 37, [44] H. Tsuge, S. Esho, H. Gokan, J. Vac. Sci. Technol. 1981, 19, 221. [45] H. Gokan, S. Esho, J. Vac. Sci. Technol. 1981, 19, 28. [46] H. Gokan, S. Esho, J. Vac. Sci. Technol. 1981, 18, 23. [47] M. Liu, C. Zhao, Y. Luo, Z. Zhao, Y. Wang, P. Gao, C. Wang, X. Luo, J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2017, 35, [48] L. Huang, X. Chen, H. Mühlenbernd, H. Zhang, S. Chen, B. Bai, Q. Tan, G. Jin, K.-W. Cheah, C.-W. Qiu, J. Li, T. Zentgraf, S. Zhang, Nat. Commun. 2013, 4, [49] H. Zhang, Q. Tan, G. Jin, Opt. Eng. 2012, 51, [50] M. Pu, Z. Zhao, Y. Wang, X. Li, X. Ma, C. Hu, C. Wang, C. Huang, X. Luo, Sci. Rep. 2015, 5, [51] L. Wang, S. Kruk, H. Tang, T. Li, I. Kravchenko, D. N. Neshev, Y. S. Kivshar, Optica 2016, 3, [52] K. Huang, Z. Dong, S. Mei, L. Zhang, Y. Liu, H. Liu, H. Zhu, J. Teng, B. Luk Yanchuk, J. K. W. Yang, C.-W. Qiu, Laser Photonics Rev. 2016, 10, 500. [53] M. G. Moharam, E. B. Grann, D. A. Pommet, J. Opt. Soc. Am. A 1995, 12, (10 of 10)

11 本文献由 学霸图书馆 - 文献云下载 收集自网络, 仅供学习交流使用 学霸图书馆 ( 是一个 整合众多图书馆数据库资源, 提供一站式文献检索和下载服务 的 24 小时在线不限 IP 图书馆 图书馆致力于便利 促进学习与科研, 提供最强文献下载服务 图书馆导航 : 图书馆首页文献云下载图书馆入口外文数据库大全疑难文献辅助工具

ISSCC 2006 / SESSION 19 / ANALOG TECHNIQUES / 19.1

ISSCC 2006 / SESSION 19 / ANALOG TECHNIQUES / 19.1 9. A 240W Monolithic Class-D Audio Amplifier Output Stage F. Nyboe,2, C. Kaya 3, L. Risbo, P. Andreani 2 Texas Instruments, Lyngby, Denmark 2 Ørsted*DTU, Technical University of Denmark, Lyngby, Denmark

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Materials Horizons. This journal is The Royal Society of Chemistry 2017 Supporting Information Nanofocusing of circularly polarized Bessel-type plasmon polaritons

More information

THE magnetic field has been widely used for the transfer of

THE magnetic field has been widely used for the transfer of 148 IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, VOL. 51, NO. 1, FEBRUARY 2004 Power Transfer Capability and Bifurcation Phenomena of Loosely Coupled Inductive Power Transfer Systems Chwei-Sen Wang, Grant

More information

IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 33, NO. 6, JUNE

IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 33, NO. 6, JUNE IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 33, NO. 6, JUNE 2018 5005 Maximum Efficiency Tracking for Wireless Power Transfer Systems With Dynamic Coupling Coefficient Estimation Xin Dai, Member, IEEE,

More information

Research of photolithography technology based on surface plasmon

Research of photolithography technology based on surface plasmon Research of photolithography technology based on surface plasmon Li Hai-Hua( ), Chen Jian( ), and Wang Qing-Kang( ) National Key Laboratory of Micro/Nano Fabrication Technology, Key Laboratory for Thin

More information

10 Input Filter Design

10 Input Filter Design 10 Input Filter Design 10.1 INTRODUCTION 10.1.1 Conducted EMI It is nearly always required that a filter be added at the power input of a switching converter. By attenuating the switching harmonics that

More information

Franke Three-Dimensional Molded Interconnect Devices (3D-MID)

Franke Three-Dimensional Molded Interconnect Devices (3D-MID) Franke Three-Dimensional Molded Interconnect Devices (3D-MID) Jörg Franke Three-Dimensional Molded Interconnect Devices (3D-MID) Materials, Manufacturing, Assembly, and Applications for Injection Molded

More information

Fuzzy Fusion Based High Dynamic Range Imaging using Adaptive Histogram Separation

Fuzzy Fusion Based High Dynamic Range Imaging using Adaptive Histogram Separation A. Taşyapı et al.: Fuzzy Fusion Based High Dynamic Range Imaging using Adaptive Histogram Separation 119 Fuzzy Fusion Based High Dynamic Range Imaging using Adaptive Histogram Separation Aysun Taşyapı

More information

The Hemispherical Resonator Gyro for precision pointing applications A. Matthews and D. A. Bauer

The Hemispherical Resonator Gyro for precision pointing applications A. Matthews and D. A. Bauer The Hemispherical Resonator Gyro for precision pointing applications A. Matthews and D. A. Bauer Hughes Delco S,vsteins Operations Goleta, California ABSTRACT The solid-state Hemispherical Resonator Gyroscope

More information

178 IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 48, NO. 1, FEBRUARY Mohit Kumar and Vivek Agarwal, Senior Member, IEEE EMI.

178 IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 48, NO. 1, FEBRUARY Mohit Kumar and Vivek Agarwal, Senior Member, IEEE EMI. 178 IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 48, NO. 1, FEBRUARY 2006 Power Line Filter Design for Conducted Electromagnetic Interference Using Time-Domain Measurements Mohit Kumar and

More information

ADVANCED radar systems benefit from the ability to have

ADVANCED radar systems benefit from the ability to have 1086 JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 27, NO. 9, MAY 1, 2009 Coherent PM Optical Link Employing ACP-PPLL Yifei Li, Member, IEEE, and Peter Herczfeld, Fellow, IEEE Abstract This paper concerns the

More information

Optical nanolithography with k/15 resolution using bowtie aperture array

Optical nanolithography with k/15 resolution using bowtie aperture array Appl. Phys. A DOI 10.1007/s00339-014-8265-y Optical nanolithography with k/15 resolution using bowtie aperture array Xiaolei Wen Luis M. Traverso Pornsak Srisungsitthisunti Xianfan Xu Euclid E. Moon Received:

More information

A Cooperative Localization Algorithm for UWB Indoor Sensor Networks

A Cooperative Localization Algorithm for UWB Indoor Sensor Networks Wireless Pers Commun (2013) 72:85 99 DOI 10.1007/s11277-013-1002-6 A Cooperative Localization Algorithm for UWB Indoor Sensor Networks Eva Arias-de-Reyna Published online: 17 January 2013 Springer Science+Business

More information

Contact optical nanolithography using nanoscale C-shaped apertures

Contact optical nanolithography using nanoscale C-shaped apertures Contact optical nanolithography using nanoscale C-shaped s Liang Wang, Eric X. Jin, Sreemanth M. Uppuluri, and Xianfan Xu School of Mechanical Engineering, Purdue University, West Lafayette, Indiana 47907

More information

Dielectric Metasurface for Generating Broadband Millimeter Wave Orbital. Angular Momentum Beams

Dielectric Metasurface for Generating Broadband Millimeter Wave Orbital. Angular Momentum Beams Dielectric Metasurface for Generating Broadband Millimeter Wave Orbital Angular Momentum Beams Fan Bi 1,2, Zhongling Ba 2, Yunting Li 2, and Xiong Wang 2, 1 Shanghai Institute of Microsystem and Information

More information

Supporting Information: Experimental. Demonstration of Demagnifying Hyperlens

Supporting Information: Experimental. Demonstration of Demagnifying Hyperlens Supporting Information: Experimental Demonstration of Demagnifying Hyperlens Jingbo Sun, Tianboyu Xu, and Natalia M. Litchinitser* Electrical Engineering Department, University at Buffalo, The State University

More information

2-D Scanning Magneto-Electric Dipole Antenna Array Fed by RGW Butler Matrix

2-D Scanning Magneto-Electric Dipole Antenna Array Fed by RGW Butler Matrix 1 2-D Scanning Magneto-Electric Dipole Antenna Array Fed by RGW Butler Matrix Mohamed Mamdouh M. Ali, Student Member, IEEE and Abdelrazik Sebak, Life member, IEEE Abstract In this paper, a 2-D scanning

More information

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

Supplementary Figure 1 Reflective and refractive behaviors of light with normal Supplementary Figures Supplementary Figure 1 Reflective and refractive behaviors of light with normal incidence in a three layer system. E 1 and E r are the complex amplitudes of the incident wave and

More information

Design and Analysis of Resonant Leaky-mode Broadband Reflectors

Design and Analysis of Resonant Leaky-mode Broadband Reflectors 846 PIERS Proceedings, Cambridge, USA, July 6, 8 Design and Analysis of Resonant Leaky-mode Broadband Reflectors M. Shokooh-Saremi and R. Magnusson Department of Electrical and Computer Engineering, University

More information

Investigation of the Near-field Distribution at Novel Nanometric Aperture Laser

Investigation of the Near-field Distribution at Novel Nanometric Aperture Laser Investigation of the Near-field Distribution at Novel Nanometric Aperture Laser Tiejun Xu, Jia Wang, Liqun Sun, Jiying Xu, Qian Tian Presented at the th International Conference on Electronic Materials

More information

Multi-level micro-optics enables broadband, multi-plane. computer-generated holography

Multi-level micro-optics enables broadband, multi-plane. computer-generated holography Multi-level micro-optics enables broadband, multi-plane computer-generated holography Monjurul Meem, and Rajesh Menon 1,2,a) 1 Department of Electrical and Computer Engineering, University of Utah, Salt

More information

1150 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 6, JUNE 2010

1150 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 6, JUNE 2010 1150 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 6, JUNE 2010 An On-Chip CMOS Relaxation Oscillator With Voltage Averaging Feedback Yusuke Tokunaga, Member, IEEE, Shiro Sakiyama, Akinori Matsumoto,

More information

Fabrication of a submicron patterned using an electrospun single fiber as mask. Author(s)Ishii, Yuya; Sakai, Heisuke; Murata,

Fabrication of a submicron patterned using an electrospun single fiber as mask. Author(s)Ishii, Yuya; Sakai, Heisuke; Murata, JAIST Reposi https://dspace.j Title Fabrication of a submicron patterned using an electrospun single fiber as mask Author(s)Ishii, Yuya; Sakai, Heisuke; Murata, Citation Thin Solid Films, 518(2): 647-650

More information

E tions usually derives its bursts of energy by rapidly

E tions usually derives its bursts of energy by rapidly 438 IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, VOL. 38, NO. 6, DECEMBER 1991 A Capacitor-Charging Power Supply Using a Series-Resonant Topology, Constant On-Time/Variable Frequency Control, and Zero-Current

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

Modeling and Evaluation of the Effect of Obstacles on the Performance of Wireless Sensor Networks

Modeling and Evaluation of the Effect of Obstacles on the Performance of Wireless Sensor Networks Modeling and Evaluation of the Effect of Obstacles on the Performance of Wireless Sensor Networks Ioannis Chatzigiannakis, Georgios Mylonas and Sotiris Nikoletseas Computer Technology Institute (CTI) and

More information

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers.

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Finite-difference time-domain calculations of the optical transmittance through

More information

Scene-Adaptive RGB-to-RGBW Conversion Using Retinex Theory-Based Color Preservation

Scene-Adaptive RGB-to-RGBW Conversion Using Retinex Theory-Based Color Preservation 684 JOURNAL OF DISPLAY TECHNOLOGY, VOL. 8, NO. 12, DECEMBER 2012 Scene-Adaptive RGB-to-RGBW Conversion Using Retinex Theory-Based Color Preservation Kyung Joon Kwon, Member, IEEE, and Young Hwan Kim, Member,

More information

Super-resolution imaging through a planar silver layer

Super-resolution imaging through a planar silver layer Super-resolution imaging through a planar silver layer David O. S. Melville and Richard J. Blaikie MacDiarmid Institute for Advanced Materials and Nanotechnology, Department of Electrical and Computer

More information

Guided resonance reflective phase shifters

Guided resonance reflective phase shifters Guided resonance reflective phase shifters Yu Horie, Amir Arbabi, and Andrei Faraon T. J. Watson Laboratory of Applied Physics, California Institute of Technology, 12 E. California Blvd., Pasadena, CA

More information

Measurement of channel depth by using a general microscope based on depth of focus

Measurement of channel depth by using a general microscope based on depth of focus Eurasian Journal of Analytical Chemistry Volume, Number 1, 007 Measurement of channel depth by using a general microscope based on depth of focus Jiangjiang Liu a, Chao Tian b, Zhihua Wang c and Jin-Ming

More information

Frugal Innovation and Knowledge Transferability

Frugal Innovation and Knowledge Transferability Research-Technology Management ISSN: 0895-6308 (Print) 1930-0166 (Online) Journal homepage: http://www.tandfonline.com/loi/urtm20 Frugal Innovation and Knowledge Transferability Peter Altmann & Robert

More information

Analysis and optimization on single-zone binary flat-top beam shaper

Analysis and optimization on single-zone binary flat-top beam shaper Analysis and optimization on single-zone binary flat-top beam shaper Jame J. Yang New Span Opto-Technology Incorporated Miami, Florida Michael R. Wang, MEMBER SPIE University of Miami Department of Electrical

More information

SINGLE-FEEDING CIRCULARLY POLARIZED TM 21 - MODE ANNULAR-RING MICROSTRIP ANTENNA FOR MOBILE SATELLITE COMMUNICATION

SINGLE-FEEDING CIRCULARLY POLARIZED TM 21 - MODE ANNULAR-RING MICROSTRIP ANTENNA FOR MOBILE SATELLITE COMMUNICATION Progress In Electromagnetics Research Letters, Vol. 20, 147 156, 2011 SINGLE-FEEDING CIRCULARLY POLARIZED TM 21 - MODE ANNULAR-RING MICROSTRIP ANTENNA FOR MOBILE SATELLITE COMMUNICATION X. Chen, G. Fu,

More information

Renewable Energy 43 (2012) 90e100. Contents lists available at SciVerse ScienceDirect. Renewable Energy

Renewable Energy 43 (2012) 90e100. Contents lists available at SciVerse ScienceDirect. Renewable Energy Renewable Energy 43 (2012) 90e100 Contents lists available at SciVerse ScienceDirect Renewable Energy journal homepage: www.elsevier.com/locate/renene Improvements in the grid connection of renewable generators

More information

Immersed transparent microsphere magnifying sub-diffraction-limited objects

Immersed transparent microsphere magnifying sub-diffraction-limited objects Immersed transparent microsphere magnifying sub-diffraction-limited objects Seoungjun Lee, 1, * Lin Li, 1 Zengbo Wang, 1 Wei Guo, 1 Yinzhou Yan, 1 and Tao Wang 2 1 School of Mechanical, Aerospace and Civil

More information

Nanopatterning using NSOM probes integrated with high transmission nanoscale bowtie aperture

Nanopatterning using NSOM probes integrated with high transmission nanoscale bowtie aperture Nanopatterning using NSOM probes integrated with high transmission nanoscale bowtie aperture Nicholas Murphy-DuBay, Liang Wang, Edward C. Kinzel, Sreemanth M. V. Uppuluri, and X. Xu * School of Mechanical

More information

THE ENVIRONMENTAL concerns and electric utility

THE ENVIRONMENTAL concerns and electric utility 74 IEEE TRANSACTIONS ON SMART GRID, VOL. 7, NO. 1, JANUARY 2016 General Unified Integral Controller With Zero Steady-State Error for Single-Phase Grid-Connected Inverters Xiaoqiang Guo, Senior Member,

More information

Engineering the light propagating features through the two-dimensional coupled-cavity photonic crystal waveguides

Engineering the light propagating features through the two-dimensional coupled-cavity photonic crystal waveguides Engineering the light propagating features through the two-dimensional coupled-cavity photonic crystal waveguides Feng Shuai( ) and Wang Yi-Quan( ) School of Science, Minzu University of China, Bejiing

More information

Influence of Avatar Creation on Attitude, Empathy, Presence, and Para-Social Interaction

Influence of Avatar Creation on Attitude, Empathy, Presence, and Para-Social Interaction Influence of Avatar Creation on Attitude, Empathy, Presence, and Para-Social Interaction Donghun Chung 1, Brahm Daniel debuys 2, and Chang S. Nam 3 1 School of Communication Kwangwoon University 447-1

More information

Frequency Tunable Low-Cost Microwave Absorber for EMI/EMC Application

Frequency Tunable Low-Cost Microwave Absorber for EMI/EMC Application Progress In Electromagnetics Research Letters, Vol. 74, 47 52, 2018 Frequency Tunable Low-Cost Microwave Absorber for EMI/EMC Application Gobinda Sen * and Santanu Das Abstract A frequency tunable multi-layer

More information

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin film is characterized by using an optical profiler (Bruker ContourGT InMotion). Inset: 3D optical

More information

Supporting Information Content

Supporting Information Content Electronic Supplementary Material (ESI) for Journal of Materials Chemistry C. This journal is The Royal Society of Chemistry 2018 Supporting Information Content 1. Fig. S1 Theoretical and experimental

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Virtual input device with diffractive optical element

Virtual input device with diffractive optical element Virtual input device with diffractive optical element Ching Chin Wu, Chang Sheng Chu Industrial Technology Research Institute ABSTRACT As a portable device, such as PDA and cell phone, a small size build

More information

Optical-Inertial System for Railway Track Diagnostics

Optical-Inertial System for Railway Track Diagnostics Optical-Inertial System for Railway Track Diagnostics E. D. Bokhman 2, A. M. Boronachin 2, Yu. V. Filatov 2, D. Yu. Larionov 2, L. N. Podgornaya 2, R. V. Shalymov 2, G. N. Zuzev 1 1 ZG Optique SA Fin-de-Praz

More information

Silicon photonic devices based on binary blazed gratings

Silicon photonic devices based on binary blazed gratings Silicon photonic devices based on binary blazed gratings Zhiping Zhou Li Yu Optical Engineering 52(9), 091708 (September 2013) Silicon photonic devices based on binary blazed gratings Zhiping Zhou Li Yu

More information

Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b,

Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b, Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b, a Photonics Research Group, Ghent University-imec, Technologiepark-Zwijnaarde

More information

Realization of Polarization-Insensitive Optical Polymer Waveguide Devices

Realization of Polarization-Insensitive Optical Polymer Waveguide Devices 644 Realization of Polarization-Insensitive Optical Polymer Waveguide Devices Kin Seng Chiang,* Sin Yip Cheng, Hau Ping Chan, Qing Liu, Kar Pong Lor, and Chi Kin Chow Department of Electronic Engineering,

More information

Fabrication method of quartz aspheric microlens array for turning mask

Fabrication method of quartz aspheric microlens array for turning mask Opto-Electronic Engineering Article 018 45 4 1 1300 400714 Reactive ion etching Single point diamond turning Photoresist Glass substrate 5 mm 5 mm 1.155 nm 0.47% O439 A. [J]. 018 45(4): 170671 Fabrication

More information

On-line Junction Temperature Estimation of SiC Power MOSFETs through On-state Voltage Mapping

On-line Junction Temperature Estimation of SiC Power MOSFETs through On-state Voltage Mapping On-line Junction Temperature Estimation of SiC Power MOSFETs through On-state Voltage Mapping Fausto Stella, Gianmario Pellegrino, Eric Armando DENERG, Politecnico di Torino, Turin, Italy fausto.stella@polito.it

More information

INDUCTIVE power transfer (IPT) systems have found application

INDUCTIVE power transfer (IPT) systems have found application 3370 IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, VOL. 54, NO. 6, DECEMBER 2007 A Three-Phase Inductive Power Transfer System for Roadway-Powered Vehicles GrantA.Covic,Senior Member, IEEE, John T. Boys,

More information

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Andrea Kroner We present 85 nm wavelength top-emitting vertical-cavity surface-emitting lasers (VCSELs) with integrated photoresist

More information

Nanolithography using high transmission nanoscale ridge aperture probe

Nanolithography using high transmission nanoscale ridge aperture probe Purdue University Purdue e-pubs Birck and NCN Publications Birck Nanotechnology Center 12-2008 Nanolithography using high transmission nanoscale ridge aperture probe Nicholas Murphy-DuBay Purdue University

More information

MULTICELL battery is a widely adopted energy source

MULTICELL battery is a widely adopted energy source IEEE TRANSATIONS ON ENERGY ONVERSION, VOL. 25, NO. 4, DEEMBER 2010 1133 Modeling Discharge Behavior of Multicell Battery Jiucai Zhang, Student Member, IEEE, Song i, Senior Member, IEEE, Hamid Sharif, Senior

More information

A Compact Miniaturized Frequency Selective Surface with Stable Resonant Frequency

A Compact Miniaturized Frequency Selective Surface with Stable Resonant Frequency Progress In Electromagnetics Research Letters, Vol. 62, 17 22, 2016 A Compact Miniaturized Frequency Selective Surface with Stable Resonant Frequency Ning Liu 1, *, Xian-Jun Sheng 2, and Jing-Jing Fan

More information

Nanofluidic Refractive-Index Sensors Formed by Nanocavity Resonators in Metals without Plasmons

Nanofluidic Refractive-Index Sensors Formed by Nanocavity Resonators in Metals without Plasmons Sensors 2011, 11, 2939-2945; doi:10.3390/s110302939 OPEN ACCESS sensors ISSN 1424-8220 www.mdpi.com/journal/sensors Article Nanofluidic Refractive-Index Sensors Formed by Nanocavity Resonators in Metals

More information

Xiamen University, Laboratory of Micro/Nano Optoelectronics, Department of Electronic Engineering, Xiamen, China, b

Xiamen University, Laboratory of Micro/Nano Optoelectronics, Department of Electronic Engineering, Xiamen, China, b Focal shift of silicon microlens in mid-infrared regime Haijie Zuo, a Jiangyong Zhang, a Leiying Ying a, Baoping Zhang, a*, Zhijin Hou, b Hongxu Chen, b Junjie Si b a Xiamen University, Laboratory of Micro/Nano

More information

Numerical simulation of surface-plasmonassisted

Numerical simulation of surface-plasmonassisted Numerical simulation of surface-plasmonassisted nanolithography D. B. Shao and S. C. Chen Department of Mechanical Engineering, the University of Texas at Austin, Austin, Texas 78712 scchen@mail.utexas.edu

More information

Narrowing spectral width of green LED by GMR structure to expand color mixing field

Narrowing spectral width of green LED by GMR structure to expand color mixing field Narrowing spectral width of green LED by GMR structure to expand color mixing field S. H. Tu 1, Y. C. Lee 2, C. L. Hsu 1, W. P. Lin 1, M. L. Wu 1, T. S. Yang 1, J. Y. Chang 1 1. Department of Optical and

More information

Common-mode Overvoltage Mitigation in a Medium Voltage Pump Motor Transformerless Drive in a Mining Plant. Brenno Marcus Prado

Common-mode Overvoltage Mitigation in a Medium Voltage Pump Motor Transformerless Drive in a Mining Plant. Brenno Marcus Prado Page 1 of 9 2016-MC-0749 Common-mode Overvoltage Mitigation in a Medium Voltage Pump Motor Transformerless Drive in a Mining Plant Thiago Morais Parreiras Student Member, EEE Graduate Program in Electrical

More information

A NEW INNOVATIVE METHOD FOR THE FABRICATION OF SMALL LENS ARRAY MOLD INSERTS

A NEW INNOVATIVE METHOD FOR THE FABRICATION OF SMALL LENS ARRAY MOLD INSERTS A NEW INNOVATIVE METHOD FOR THE FABRICATION OF SMALL LENS ARRAY MOLD INSERTS Chih-Yuan Chang and Po-Cheng Chen Department of Mold and Die Engineering, National Kaohsiung University of Applied Sciences,

More information

Title: Ultrathin Terahertz Planar Lenses

Title: Ultrathin Terahertz Planar Lenses Title: Ultrathin Terahertz Planar Lenses Authors: Dan Hu 1, 2,, Xinke Wang 1,, Shengfei Feng 1, Jiasheng Ye 1, Wenfeng Sun 1, Qiang Kan 3, Peter J. Klar 4, and Yan Zhang 1,2,* Affiliations: 1 Department

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Quantized patterning using nanoimprinted blanks

Quantized patterning using nanoimprinted blanks IOP PUBLISHING Nanotechnology 20 (2009) 155303 (7pp) Quantized patterning using nanoimprinted blanks NANOTECHNOLOGY doi:10.1088/0957-4484/20/15/155303 Stephen Y Chou 1, Wen-Di Li and Xiaogan Liang NanoStructure

More information

Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes.

Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes. Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes. S- symmetric, AS antisymmetric. b) Calculated linear scattering spectra of individual

More information

Agile Multiple Pulse Coherent Lidar for Range and Micro-Doppler Measurement

Agile Multiple Pulse Coherent Lidar for Range and Micro-Doppler Measurement Agile Multiple Pulse Coherent Lidar for Range and Micro-Doppler Measurement Stephen M. Hannon, J. Alex Thomson, Sammy W. Henderson, Philip Gatt, Robert Stoneman, Dale Bruns Coherent Technologies, Inc.

More information

Design, Fabrication and Characterization of Very Small Aperture Lasers

Design, Fabrication and Characterization of Very Small Aperture Lasers 372 Progress In Electromagnetics Research Symposium 2005, Hangzhou, China, August 22-26 Design, Fabrication and Characterization of Very Small Aperture Lasers Jiying Xu, Jia Wang, and Qian Tian Tsinghua

More information

Supplementary Information

Supplementary Information Supplementary Information Metasurface eyepiece for augmented reality Gun-Yeal Lee 1,, Jong-Young Hong 1,, SoonHyoung Hwang 2, Seokil Moon 1, Hyeokjung Kang 2, Sohee Jeon 2, Hwi Kim 3, Jun-Ho Jeong 2, and

More information

A COMPACT MULTIBAND MONOPOLE ANTENNA FOR WLAN/WIMAX APPLICATIONS

A COMPACT MULTIBAND MONOPOLE ANTENNA FOR WLAN/WIMAX APPLICATIONS Progress In Electromagnetics Research Letters, Vol. 23, 147 155, 2011 A COMPACT MULTIBAND MONOPOLE ANTENNA FOR WLAN/WIMAX APPLICATIONS Z.-N. Song, Y. Ding, and K. Huang National Key Laboratory of Antennas

More information

Silicon Photonic Device Based on Bragg Grating Waveguide

Silicon Photonic Device Based on Bragg Grating Waveguide Silicon Photonic Device Based on Bragg Grating Waveguide Hwee-Gee Teo, 1 Ming-Bin Yu, 1 Guo-Qiang Lo, 1 Kazuhiro Goi, 2 Ken Sakuma, 2 Kensuke Ogawa, 2 Ning Guan, 2 and Yong-Tsong Tan 2 Silicon photonics

More information

Adaptive multi/demultiplexers for optical signals with arbitrary wavelength spacing.

Adaptive multi/demultiplexers for optical signals with arbitrary wavelength spacing. Edith Cowan University Research Online ECU Publications Pre. 2011 2010 Adaptive multi/demultiplexers for optical signals with arbitrary wavelength spacing. Feng Xiao Edith Cowan University Kamal Alameh

More information

S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique

S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique Chien-Hung Yeh 1, *, Ming-Ching Lin 3, Ting-Tsan Huang 2, Kuei-Chu Hsu 2 Cheng-Hao Ko 2, and Sien Chi

More information

NOVEL PLANAR MULTIMODE BANDPASS FILTERS WITH RADIAL-LINE STUBS

NOVEL PLANAR MULTIMODE BANDPASS FILTERS WITH RADIAL-LINE STUBS Progress In Electromagnetics Research, PIER 101, 33 42, 2010 NOVEL PLANAR MULTIMODE BANDPASS FILTERS WITH RADIAL-LINE STUBS L. Zhang, Z.-Y. Yu, and S.-G. Mo Institute of Applied Physics University of Electronic

More information

Fiber-optic Michelson Interferometer Sensor Fabricated by Femtosecond Lasers

Fiber-optic Michelson Interferometer Sensor Fabricated by Femtosecond Lasers Sensors & ransducers 2013 by IFSA http://www.sensorsportal.com Fiber-optic Michelson Interferometer Sensor Fabricated by Femtosecond Lasers Dong LIU, Ying XIE, Gui XIN, Zheng-Ying LI School of Information

More information

Fabrication of large grating by monitoring the latent fringe pattern

Fabrication of large grating by monitoring the latent fringe pattern Fabrication of large grating by monitoring the latent fringe pattern Lijiang Zeng a, Lei Shi b, and Lifeng Li c State Key Laboratory of Precision Measurement Technology and Instruments Department of Precision

More information

ANALYSES SUPPORTING SURVEILLANCE REQUIREMENTS FOR A CATEGORY I PAIRED APPROACH PROCEDURE

ANALYSES SUPPORTING SURVEILLANCE REQUIREMENTS FOR A CATEGORY I PAIRED APPROACH PROCEDURE ANALYSES SUPPORTING SURVEILLANCE REQUIREMENTS FOR A CATEGORY I PAIRED APPROACH PROCEDURE Robert R. Eftekari, The MITRE Corporation, McLean, Virginia Donald C. Walker, Federal Aviation Administration, Washington,

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Low aberration monolithic diffraction gratings for high performance optical spectrometers

Low aberration monolithic diffraction gratings for high performance optical spectrometers Low aberration monolithic diffraction gratings for high performance optical spectrometers Peter Triebel, Tobias Moeller, Torsten Diehl; Carl Zeiss Spectroscopy GmbH (Germany) Alexandre Gatto, Alexander

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

arxiv:physics/ v1 [physics.optics] 28 Sep 2005

arxiv:physics/ v1 [physics.optics] 28 Sep 2005 Near-field enhancement and imaging in double cylindrical polariton-resonant structures: Enlarging perfect lens Pekka Alitalo, Stanislav Maslovski, and Sergei Tretyakov arxiv:physics/0509232v1 [physics.optics]

More information

Low-cost Interference Lithography

Low-cost Interference Lithography Low-cost Interference Lithography 343 Corey P. Fucetola, Hasan Korre and Karl K. Berggren Research Laboratory of Electronics Massachusetts Institute of Technology, Cambridge, MA, 02139 Abstract We report

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

COMPACT DUAL-MODE TRI-BAND TRANSVERSAL MICROSTRIP BANDPASS FILTER

COMPACT DUAL-MODE TRI-BAND TRANSVERSAL MICROSTRIP BANDPASS FILTER Progress In Electromagnetics Research Letters, Vol. 26, 161 168, 2011 COMPACT DUAL-MODE TRI-BAND TRANSVERSAL MICROSTRIP BANDPASS FILTER J. Li 1 and C.-L. Wei 2, * 1 College of Science, China Three Gorges

More information

Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns

Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns CHINESE JOURNAL OF PHYSICS VOL. 41, NO. 2 APRIL 2003 Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns Ru-Pin Pan 1, Hua-Yu Chiu 1,Yea-FengLin 1,andJ.Y.Huang

More information

Numerical study of optical nanolithography using nanoscale bow-tie shaped nano-apertures

Numerical study of optical nanolithography using nanoscale bow-tie shaped nano-apertures Journal of Microscopy, Vol. 229, Pt 3 2008, pp. 483 489 Received 26 September 2006; accepted 16 June 2007 Numerical study of optical nanolithography using nanoscale bow-tie shaped nano-apertures L. WANG

More information

CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING

CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING Siti Aisyah bt. Ibrahim and Chong Wu Yi Photonics Research Center Department of Physics,

More information

Switchable reflective lens based on cholesteric liquid crystal

Switchable reflective lens based on cholesteric liquid crystal Switchable reflective lens based on cholesteric liquid crystal Jae-Ho Lee, 1,3 Ji-Ho Beak, 2,3 Youngsik Kim, 2 You-Jin Lee, 1 Jae-Hoon Kim, 1,2 and Chang-Jae Yu 1,2,* 1 Department of Electronic Engineering,

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Supporting Information 1. Experimental

Supporting Information 1. Experimental Supporting Information 1. Experimental The position markers were fabricated by electron-beam lithography. To improve the nanoparticle distribution when depositing aqueous Ag nanoparticles onto the window,

More information

DUE to the growing penetration of distributed generation

DUE to the growing penetration of distributed generation 3968 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 31, NO. 5, MAY 2016 Fast and Robust Single-Phase DQ Current Controller for Smart Inverter Applications Mohammad Ebrahimi, Student Member, IEEE, Sayed Ali

More information

Printing Beyond srgb Color Gamut by. Mimicking Silicon Nanostructures in Free-Space

Printing Beyond srgb Color Gamut by. Mimicking Silicon Nanostructures in Free-Space Supporting Information for: Printing Beyond srgb Color Gamut by Mimicking Silicon Nanostructures in Free-Space Zhaogang Dong 1, Jinfa Ho 1, Ye Feng Yu 2, Yuan Hsing Fu 2, Ramón Paniagua-Dominguez 2, Sihao

More information

An 8.2 Gb/s-to-10.3 Gb/s Full-Rate Linear Referenceless CDR Without Frequency Detector in 0.18 μm CMOS

An 8.2 Gb/s-to-10.3 Gb/s Full-Rate Linear Referenceless CDR Without Frequency Detector in 0.18 μm CMOS IEEE JOURNAL OF SOLID-STATE CIRCUITS 1 An 8.2 Gb/s-to-10.3 Gb/s Full-Rate Linear Referenceless CDR Without Frequency Detector in 0.18 μm CMOS Sui Huang, Member, IEEE, JunCao, Senior Member, IEEE, and Michael

More information

plasmonic nanoblock pair

plasmonic nanoblock pair Nanostructured potential of optical trapping using a plasmonic nanoblock pair Yoshito Tanaka, Shogo Kaneda and Keiji Sasaki* Research Institute for Electronic Science, Hokkaido University, Sapporo 1-2,

More information

Resonance-induced wave penetration through electromagnetic opaque object

Resonance-induced wave penetration through electromagnetic opaque object Resonance-induced wave penetration through electromagnetic opaque object He Wen a,c), Bo Hou b), Yang Leng a), Weijia Wen b,d) a) Department of Mechanical Engineering, the Hong Kong University of Science

More information

Proceeding The Alignment Method for Linear Scale Projection Lithography Based on CCD Image Analysis

Proceeding The Alignment Method for Linear Scale Projection Lithography Based on CCD Image Analysis Proceeding The Alignment Method for Linear Scale Projection Lithography Based on CCD Image Analysis Dongxu Ren 1, *, Jianpu Xi 1, Zhengfeng Li 1, Bin Li 1, Zexiang Zhao 1, Huiying Zhao 2, Lujun Cui 1 and

More information

SUPPORTING INFORMATION

SUPPORTING INFORMATION SUPPORTING INFORMATION Plasmonic Nanopatch Array for Optical Integrated Circuit Applications Shi-Wei Qu & Zai-Ping Nie Table of Contents S.1 PMMA Loaded Coupled Wedge Plasmonic Waveguide (CWPWG) 2 S.2

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

BROADBAND AND HIGH-GAIN PLANAR VIVALDI AN- TENNAS BASED ON INHOMOGENEOUS ANISOTROPIC ZERO-INDEX METAMATERIALS

BROADBAND AND HIGH-GAIN PLANAR VIVALDI AN- TENNAS BASED ON INHOMOGENEOUS ANISOTROPIC ZERO-INDEX METAMATERIALS Progress In Electromagnetics Research, Vol. 120, 235 247, 2011 BROADBAND AND HIGH-GAIN PLANAR VIVALDI AN- TENNAS BASED ON INHOMOGENEOUS ANISOTROPIC ZERO-INDEX METAMATERIALS B. Zhou, H. Li, X. Y. Zou, and

More information

Wide-Beamwidth Circularly Polarized Antenna and Its Application in a Sequential-Rotation Array with Enhanced Bandwidth

Wide-Beamwidth Circularly Polarized Antenna and Its Application in a Sequential-Rotation Array with Enhanced Bandwidth Progress In Electromagnetics Research C, Vol. 67, 127 134, 2016 Wide-Beamwidth Circularly Polarized Antenna and Its Application in a Sequential-Rotation Array with Enhanced Bandwidth Li Jiang *, Fu-Shun

More information