Industrial technology Innovation for success Customized solutions for industrial applications

Size: px
Start display at page:

Download "Industrial technology Innovation for success Customized solutions for industrial applications"

Transcription

1 Industrial technology Innovation for success Customized solutions for industrial applications

2 Innovation for success

3 Challenges in the development and production of industrial applications Technological advances are continuously producing more powerful machine control and process monitoring devices as well as more comfortable human-machine interfaces, while temperature control and measurement equipment is leading to more reliable production results. Advances in building automation are meeting the demand for safe, sustainable and comfortable buildings. In this context, electronics can deliver a number of applications for use in building safety, energy distribution, access control systems, building controls and sanitary installations. In the energy sector, electronic control systems ensure optimal energy supply and maximum safety. Sensors are used in monitoring and safety systems, in process controls, in industrial automation and in scientific facilities such as CERN. Sensors are also central to the fourth industrial revolution: Industry 4.0 a world of networked products and machines, where the virtual and the real are synchronized. Smart factory, smart products, smart grid these are the new buzzwords. Industry 4.0 promises to further develop existing value chains. It opens up completely new opportunities in production and development, away from serial production to small volumes and even individual items. Individual, customerspecific needs can be met quickly and easily. Cicor is a solutions provider with worldwide operations and a unique portfolio of services and technologies. The latest discoveries combined with many years of experience, stateof-the-art technologies and exceptional expertise make Cicor a dependable and innovative partner in the development and production of compelling electronic solutions for industrial automation.

4 Electronic Solutions Innovative technological solutions for your electronics We are an electronics service provider with an international structure and a broad range of production capabilities in printed circuit board assembly, system assembly and box building, control cabinet construction, cable assembly, and in the areas of toolmaking and plastic injection molding. For industrial customers, we offer in- dividual outsourcing solutions from the development and manufacturing of electronic modules to complete devices and systems. As a company with global operations and production sites in Switzerland, Romania and Asia (Singapore, Vietnam, Indonesia and China), we employ our synergies to offer solutions based on extensive know-how. Our offering comprises the development and manufacture of electronic modules as well as complete devices and systems. We see ourselves as a partner over the entire product life cycle from product development through serial production to after-sales services. Product development Layout Mechanical design Test development Redesign Life cycle management Test concepts Validation NPI Logistic model Procurement Development After-sales Industrialization Warranty Repair services Maintenance Modification Obsolescence management Production Quality management Prototyping Board assembly System assembly Plastic injection molding Toolmaking

5 Electronic Solutions Safety and traceability The traceability of modules and system configurations is a key factor in being able to guarantee that quality requirements such as functional safety and system stability can be met. Our quality data management system far exceeds what is legally required. It has an extensive range of functions that are configured according to each customer s specific needs. This allows us to guarantee absolute transparency in all production and after-sales processes at every location. Processes that are compatible across locations We work with integrated production and quality assurance processes, identical or compatible production facilities, test systems and standard ERP software (SAP). This allows an optimum choice of production sites, simple relocation of production and rigorous cost control. Competencies and services Development Consulting, risk analysis, feasibility studies, specifications Hardware and software development PCB layout Mechanical design Redesign, reverse engineering Test engineering Test concept development (AOI, flying probe test, in-circuit testing, functional testing, X-ray, boundary & frame scan) Setup and programming of test systems Integration of test systems into the production environment Quality data management with traceability throughout the entire product life cycle Industrialization Layout testing Evaluation of second-source components Discontinued component testing (EOL) Validation of production and test systems Development of logistics and packaging concepts Prototype production Initial sample testing Production Materials sourcing SMD/THT assembly Component programming Box building System assembly Cable assembly Component and system tests Coating and molding Coil winding Toolmaking (design & manufacture) Plastic injection molding After-sales service Life cycle management Obsolescence management Preferred component list

6 Electronic Solutions Electronics and plastic injection molding solutions from a single source Together with our customers we create sophisticated, innovative and compelling products and solutions for the industrial sector. We are specialized in the manufacture of precision injection molding tools, precision plastic injection molded parts and 3D-MIDs (three-dimensional injection-molded interconnect devices). 3D-MIDs offer countless possibilities in miniaturization and allow a near-arbitrary design of interconnect devices. Integrating mechanical and electronic functions into one component allows its functional density to be increased significantly, enabling an optimal use of space and a reduction in assembly times and processing stages. Thinking outside the box is one of our key strengths. Certifications ISO 9001 Quality management system ISO Environmental management system Development process certified according to ISO 9001

7 Advanced Microelectronics & Substrates At the technological forefront As a leading manufacturer of sophisticated microelectronics and high-quality substrates, we offer a broad range of products and services of the highest standards. In the area of microelectronics, we offer state-of-the-art assembly and interconnect technologies as well as packaging technologies, while the manufacture of highly complex rigid, rigid-flex and flex circuit boards and thin- and thick-film interconnect devices is what marks us out from the competition in the area of substrate manufacturing and processing. The industrial market segment is particularly diverse in terms of products. This is why Cicor works very closely with its customers to develop sophisticated applications from prototype to large-scale production. We offer a high degree of process stability combined with state-ofthe-art production facilities, consistent quality and absolute delivery reliability. Substrates Rigid, rigid-flex and flexible PCBs High-density interconnects (HDIs) Multi-chip modules (MCM) 1 32 layer rigid PCBs Panel and reel-to-reel production Conducting structures down to 25/25 μm Laser microvias down to 30 μm Stacked/staggered microvias down to 6-n-6 +/- 5 % controlled impedance PCBs Thin base materials down to 12.5 μm PCBs down to 4.0 mm thickness Thin-film substrates on polymer, ceramic, steel, glass, ferrite, etc. Flexible multilayer circuits with maximum resolution (10 μm) Integrated resistors and couplers Thick copper thin-film circuits for high-performance applications Precision high-ohm resistors /networks of resistors MEMS Thick-film substrates on Al 2O 3, AlN, ferrite, etc. Printed resistors (trimmed active /passive) Etched structures (down to 40 μm) DC/HF multilayer circuits Assembly Microassembly on rigid and flexible substrates (ceramic, steel, ferrite, glass, polymer, PCB, etc.) Die attach, COB, flip chip, naked chip, MMICs, SMD (min ), (μ)bga Al- and Au-wire/ribbon bonding Automatic ball-wedge/wedge-wedge bonding Fluxing-agent-free soldering in a vacuum, adhesive bonding Circuit protection (passivation, glob top, paint coating, etc.) Hermetic housing (metal, ceramic) MEMS/RF MEMS packaging Module assembly incl. testing, screening and qualification

8 Advanced Microelectronics & Substrates Broad product portfolio to meet the highest standards The industrial sector, too, is dominated by the trend toward networked communication and thus toward the so-called Industry 4.0. Production facilities and their components increasingly combine a growing number of functions into a single unit. The development and manufacturing of the ever more complex electronic components this requires calls for an experienced and technically skilled partner. Cicor specializes in producing customized hybrid components of the highest quality. Its product portfolio covers a wide range of diverse applications: fully integrated transceiver modules, adaptively controlled antenna systems, sensor network nodes, passive UHF and microwave transponders, high-resolution camera systems, and detectors. Cicor s product solutions are particularly efficient thanks to the use of printed circuit board and reel-to-reel technology. This allows high volumes to be produced with the utmost precision.

9 Advanced Microelectronics & Substrates Comprehensive service from a single source The success of product innovations is often decided during the planning and development phase. Cicor is a one-stop shop that can support you from initial idea to development, manufacturing and testing of the final product. We work very closely with our customers every step of the way to develop innovative solutions. We develop today what will prevail in application tomorrow. Competencies and services Extensive experience Detailed technical advice, development and support Electronics design Design for Manufacturing (DFM) Miniaturization Feasibility studies Fast prototyping Broad portfolio of surface finishing Galvanic Au Immersion Sn Immersion Ag OSP ENIG ENEPIG ASIG Qualified traceability of processes and components Flexible manufacturing capacities Electrical, optical and thermal tests Certifications ISO 9001 Quality management system ISO Environmental management system ISO/TS Quality management system for the automotive industry OHSAS Occupational health and safety management system KTA 1401 Quality assurance in nuclear power plants EN 9100 Quality management for the aerospace industry ESA-PSS Qualified manufacture of thick-film hybrids

10 Customized solutions The Cicor Group offers comprehensive outsourcing services and sound advice on electronic applications. As a complete-solutions partner, we work together with our customers to develop innovative products and solutions that meet the needs of the market, reflect the latest trends and convince through their application. We support you in the development of new products and systems that meet the requirements of Industry 4.0. Our broad portfolio of innovative technologies, services and global production capacities offers the right solution for even the most demanding requirements, such as high-tech and high-reliability applications. Thanks to our focus on consistent quality and maximum trace - ability, rapid prototyping, flexible choice of materials, miniaturization and realization of potential savings as well as our development and assembly services, Cicor is a partner that is able to meet the requirements of industrial applications using new ideas and cutting-edge solutions.

11 Innovation for success The future is the result of innovative ideas.

12 Contact Cicor Europe Electronic Solutions Swisstronics Contract Manufacturing AG Industriestrasse Bronschhofen I Switzerland Tel Fax info-europe@cicor.com Advanced Microelectronics & Substrates Cicorel SA Route de l Europe Boudry I Switzerland Tel Fax info-europe@cicor.com America Cicor Americas Inc. 185 Alewife Brook Parkway, Suite #410 Cambridge MA I USA Tel Fax info-americas@cicor.com Asia Cicor Asia Pte Ltd. 45 Changi South Avenue 2, #02-00 Singapore I Singapore Tel Fax info-asia@cicor.com Head office Cicor Management AG Leutschenbachstrasse Zurich I Switzerland Tel Fax info@cicor.com cicor.com

Innovation for success

Innovation for success Innovation for success Success in the medical sector Thin film substrates for medical implants Retinal implants for Retina Implant AG, Germany Our mission: To restore sight to blind people and thus increase

More information

Your technology partner From design to finished product

Your technology partner From design to finished product Your technology partner From design to finished product Innovative technology solutions for electronics Founded in 1966 in Lausanne () under the name Cicorel SA, today s Cicor Group is a solutions provider

More information

Sophisticated Microelectronics. Design Manual

Sophisticated Microelectronics. Design Manual Sophisticated Microelectronics Design Manual Design Manual The following rules are effective for the draft of circuit boards and hybrid assemblies. The instructions are only valid for the layout design

More information

Flexible Substrates and SCB-Technology

Flexible Substrates and SCB-Technology Flexible Substrates and SCB-Technology Substrate Technology As requirements are increasing, so are electronic systems becoming smaller and smaller and more complex. In its role as innovative forerunner

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

About EOS. Step 01. Step 02. Step 03

About EOS. Step 01. Step 02. Step 03 EOS EOS in Brief About EOS Founded in 1989 and headquartered in Germany, EOS is the technology and market leader for design-driven integrated e-manufacturing solutions for Additive Manufacturing (AM).

More information

!"#$"%&' ()#*+,-+.&/0(

!#$%&' ()#*+,-+.&/0( !"#$"%&' ()#*+,-+.&/0( Multi Chip Modules (MCM) or Multi chip packaging Industry s first MCM from IBM. Generally MCMs are horizontal or two-dimensional modules. Defined as a single unit containing two

More information

Thick Copper IMS ECP. HSMtec. Multilayer. Double sided PTH. Flexible & Rigid Flexible. NucleuS. HDI Any-Layer. Metal Core. HDI Microvia 2.

Thick Copper IMS ECP. HSMtec. Multilayer. Double sided PTH. Flexible & Rigid Flexible. NucleuS. HDI Any-Layer. Metal Core. HDI Microvia 2. Thick Copper IMS HSMtec ECP Double sided PTH Multilayer Flexible & Rigid Flexible NucleuS HDI Any-Layer 2.5D Metal Core HDI Microvia ALIVH www.ats.net Global PCB Supplier for Advanced Technologies AT&S

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

Assembly/Packagng RF-PCB. Thick Film. Thin Film. Screening/Test. Design Manual

Assembly/Packagng RF-PCB. Thick Film. Thin Film. Screening/Test. Design Manual Thick Film Thin Film RF-PCB Assembly/Packagng Screening/Test Design Manual RHe Design Manual The following rules are effective for the draft of circuit boards and hybrid assemblies. The instructions are

More information

PCB technologies and manufacturing General Presentation

PCB technologies and manufacturing General Presentation PCB technologies and manufacturing General Presentation 1 Date : December 2014 3 plants for a global offer dedicated to the European market and export Special technologies, Harsh environment PCB for space

More information

Microwave and Microelectronics

Microwave and Microelectronics Microwave and Microelectronics MISSION SYSTEMS 2 BAE Systems Mission Systems Microwave and Microelectronics 3 Manufacturing Success Microwave and Microelectronics Mission Systems provides manufacturing

More information

Highly Versatile Laser System for the Production of Printed Circuit Boards

Highly Versatile Laser System for the Production of Printed Circuit Boards When batch sizes go down and delivery schedules are tight, flexibility becomes more important than throughput Highly Versatile Laser System for the Production of Printed Circuit Boards By Bernd Lange and

More information

Hahn-Schickard Stuttgart

Hahn-Schickard Stuttgart Hahn-Schickard Stuttgart Hahn-Schickard Applied research for industry Budget 2016: 23,1 Mio. (5,1 Mio. industry) Employees 2016: 181 FTE (228 persons) Part of the Innovation Alliance Baden-Württemberg

More information

HARTING Coaxial and Metric Connectors

HARTING Coaxial and Metric Connectors HARTING Coaxial and Metric Connectors HARTING Worldwide Transforming customer wishes into concrete solutions The HARTING Technology Group is skilled in the fields of electrical, electronic and optical

More information

ESCC2006 European Supply Chain Convention

ESCC2006 European Supply Chain Convention ESCC2006 European Supply Chain Convention PCB Paper 20 Laser Technology for cutting FPC s and PCB s Mark Hüske, Innovation Manager, LPKF Laser & Electronics AG, Germany Laser Technology for cutting FPCs

More information

Application Bulletin 240

Application Bulletin 240 Application Bulletin 240 Design Consideration CUSTOM CAPABILITIES Standard PC board fabrication flexibility allows for various component orientations, mounting features, and interconnect schemes. The starting

More information

DEVELOPMENT AND PRODUCTION OF HYBRID CIRCUITS FOR MICROWAVE RADIO LINKS

DEVELOPMENT AND PRODUCTION OF HYBRID CIRCUITS FOR MICROWAVE RADIO LINKS Electrocomponent Science and Technology 1977, Vol. 4, pp. 79-83 (C)Gordon and Breach Science Publishers Ltd., 1977 Printed in Great Britain DEVELOPMENT AND PRODUCTION OF HYBRID CIRCUITS FOR MICROWAVE RADIO

More information

HIGHER QUALITY. FASTER MOULDS.

HIGHER QUALITY. FASTER MOULDS. HIGHER QUALITY. FASTER MOULDS. Established in 1952, SCHÖTTLI has evolved from pioneer of the injection moulding industry to global player and specialist for developing and manufacturing moulds and complete

More information

Bringing together experts in high-reliability PCB technology

Bringing together experts in high-reliability PCB technology Bringing together experts in high-reliability PCB technology Progress through PCB technology At DYCONEX, we ensure that we have the right knowledge, quality, reliability and traceability so that our customers

More information

Strip Tinning China & Asia. Strip Tinning Ltd UK. Listen, Research, Innovate, Design...

Strip Tinning China & Asia. Strip Tinning Ltd UK. Listen, Research, Innovate, Design... Listen, Research, Innovate, Design... Strip Tinning Ltd UK Arden Business Park Arden Road Birmingham B45 0JA United Kingdom Tel.: +44 (0)121 457 7675 Fax.: +44 (0)121 453 6532 sales@striptinning.com Strip

More information

APPLICATION NOTE 6381 ORGANIC LAND GRID ARRAY (OLGA) AND ITS APPLICATIONS

APPLICATION NOTE 6381 ORGANIC LAND GRID ARRAY (OLGA) AND ITS APPLICATIONS Keywords: OLGA, SMT, PCB design APPLICATION NOTE 6381 ORGANIC LAND GRID ARRAY (OLGA) AND ITS APPLICATIONS Abstract: This application note discusses Maxim Integrated s OLGA and provides the PCB design and

More information

Smart Devices of 2025

Smart Devices of 2025 Smart Devices of 2025 Challenges for Packaging of Future Device Technologies Steve Riches/Kevin Cannon Tribus-D Ltd CW Workshop 27 March 2018 E:mail: info@tribus-d.uk M: 07804 980 954 Assembly Technology

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

T/R Modules. Version 1.0

T/R Modules. Version 1.0 T/R Modules Version 1.0 Date: Jun 1, 2015 CONTENT Product Overview... 3 FACTS ON THE TECHNOLOGY... 4 ABOUT NANOWAVE... 6 RF Components and Subsystems NANOWAVE Technologies Inc. is a privately owned Canadian

More information

MADE IN GERMANY INDUSTRIAL ENAMELLING DIES

MADE IN GERMANY INDUSTRIAL ENAMELLING DIES INDUSTRIAL ENAMELLING DIES MADE IN GERMANY Headquarter Euromicron Group Frankfurt a. M. Dear valuable Customer, engine design, measuring technology, or textile industry for almost every industry segment

More information

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4]

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4] camline http://www.camline.com Booth 22 camline s mission is to provide the highest quality software solutions for factory automation and logistics, helping global manufacturers maintain their competitive

More information

Mobile Communications. Edition 2009

Mobile Communications. Edition 2009 Mobile Communications Edition 2009 Solutions for Mobile Communications Solutions for Mobile Communications Your partner for connectivity solutions Your partner for connectivity solutions HUBER+SUHNER is

More information

2.4 GHz SMD On-Ground Antenna

2.4 GHz SMD On-Ground Antenna 2.4 GHz SMD On-Ground Antenna Mads Sager Antenna Technology Manager Commercial Products Division Template 2.2 Featuring high performance levels and easy integration to satisfy the demands of the wireless

More information

International Foundry Challenge Suitable Production of thin walled Aluminum Prototype and Small Series Castings for Body in White Applications

International Foundry Challenge Suitable Production of thin walled Aluminum Prototype and Small Series Castings for Body in White Applications 1 2 International Foundry Challenge Suitable Production of thin walled Aluminum Prototype and Small Series Castings for Body in White Applications Joachim Gundlach, Jörg Detering Contents 3 Company Information

More information

Processes for Flexible Electronic Systems

Processes for Flexible Electronic Systems Processes for Flexible Electronic Systems Michael Feil Fraunhofer Institut feil@izm-m.fraunhofer.de Outline Introduction Single sheet versus reel-to-reel (R2R) Substrate materials R2R printing processes

More information

WORLDWIDE AUSTRIAN INDUCTIVE COMPONENTS

WORLDWIDE AUSTRIAN INDUCTIVE COMPONENTS WORLDWIDE AUSTRIAN POWER WWW.EGSTON.COM WORLDWIDE AUSTRIAN INDUCTIVE COMPONENTS Distributed In the UK by: ENGLISH WORLDWIDE AUSTRIAN POWER DEVELOP INNOVATIONS. INDUCTIVE COMPONENTS WE HAVE BEEN DEVELOPING

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

Continuous Wave SSPAs. Version 1.6

Continuous Wave SSPAs. Version 1.6 Continuous Wave SSPAs Version 1.6 Date: Jun 1, 2015 CONTENT Product Overview... 3 FACTS ON THE TECHNOLOGY... 4 SOLID-STATE POWER AMPLIFIERS... 5 ABOUT NANOWAVE... 8 RF Components and Subsystems NANOWAVE

More information

the Guangdong region (China). With this move, the company is not "Asian manufacturing is not a substitute for our German factories", says

the Guangdong region (China). With this move, the company is not Asian manufacturing is not a substitute for our German factories, says Page 1 of 5 Printed Circuit Boards from Asia: Würth Elektronik opens office in China The Würth Elektronik Group has been in Asia for over 13 years, where it has established several local businesses, manufacturing

More information

Manufacturing Excellence. Telegärtner UK. Cable Assemblies Services & Capabilities

Manufacturing Excellence. Telegärtner UK. Cable Assemblies Services & Capabilities Manufacturing Excellence Telegärtner UK Cable Assemblies Services & Capabilities Telegärtner UK Manufacturing Excellence Telegärtner UK has an excellent reputation for providing high quality, competitively

More information

Marking Cutting Welding Micro Machining Additive Manufacturing

Marking Cutting Welding Micro Machining Additive Manufacturing Marking Cutting Welding Micro Machining Additive Manufacturing Slide: 1 CM-F00003 Rev 4 G4 Pulsed Fiber Laser Slide: 2 CM-F00003 Rev 4 Versatility for Industry Automotive 2D/3D Cutting Night & Day Marking

More information

Industry trends are boosting Jet Printing. Nico Coenen Global Sales Director Jet Printing

Industry trends are boosting Jet Printing. Nico Coenen Global Sales Director Jet Printing Industry trends are boosting Jet Printing Nico Coenen Global Sales Director Jet Printing Agenda What is Jet Printing Market Overview Industry Trends Typical Applications 2 What is Jet Printing What is

More information

Accelerating Collective Innovation: Investing in the Innovation Landscape

Accelerating Collective Innovation: Investing in the Innovation Landscape PCB Executive Forum Accelerating Collective Innovation: Investing in the Innovation Landscape How a Major Player Uses Internal Venture Program to Accelerate Small Players with Big Ideas Dr. Joan K. Vrtis

More information

SiP packaging technology of intelligent sensor module. Tony li

SiP packaging technology of intelligent sensor module. Tony li SiP packaging technology of intelligent sensor module Tony li 2016.9 Contents What we can do with sensors Sensor market trend Challenges of sensor packaging SiP technology to overcome challenges Overview

More information

Laser Assisted Flip Chip Assembly for LCD Applications using ACP and NCP Adhesive Joining

Laser Assisted Flip Chip Assembly for LCD Applications using ACP and NCP Adhesive Joining 1 Laser Assisted Flip Chip Assembly for LCD Applications using ACP and NCP Adhesive Joining Elke Zakel, Ghassem Azdasht, Thorsten Teutsch *, Ronald G. Blankenhorn* Pac Tech Packaging Technologies GmbH

More information

Fluid Technology Automation Technology smart buildings Technology

Fluid Technology Automation Technology smart buildings Technology Fluid Technology Automation Technology smart buildings Technology THE PARTNER OF Higher Standards 002003 Hainzl Technology for Higher Standards Engineering & system competence 004-005 Production ServicE

More information

THICKNESS CHECK NON-CONTACT THICKNESS MEASUREMENT OF FLAT PRODUCTS

THICKNESS CHECK NON-CONTACT THICKNESS MEASUREMENT OF FLAT PRODUCTS EN THICKNESS CHECK NON-CONTACT THICKNESS MEASUREMENT OF FLAT PRODUCTS CALIX NON-CONTACT INLINE THICKNESS MEASUREMENT MEASURING RANGE STRIP THICKNESS EDGE THICKNESS The CALIX series is a non-contact measuring

More information

Greatest Precision for Smallest Components

Greatest Precision for Smallest Components Greatest Precision for Smallest Components Reproducible machine settings ensure reliable injection moulding process at Cicorel The Cicor Group is one of the leading suppliers of electronic assemblies worldwide.

More information

Advanced Packaging Equipment Solder Jetting & Laser Bonding

Advanced Packaging Equipment Solder Jetting & Laser Bonding Advanced Packaging Equipment Solder Jetting & Laser Bonding www.pactech.comw.pactech.com PacTech Packaging Technologies Pioneering in laser solder jetting technologies since 1995 Our mission is to reshape

More information

Mechatronics. Bring the challenge. We ll build the solution.

Mechatronics. Bring the challenge. We ll build the solution. Mechatronics Bring the challenge. We ll build the solution. VALUE-ADDED ENCODER ASSEMBLIES CUSTOMIZED ROTARY STAGES LINEAR AND CURVED STAGES VOICE COIL STAGES ROBOTIC JOINTS CUSTOMIZED ELECTRONICS, CABLING

More information

High Frequency Single & Multi-chip Modules based on LCP Substrates

High Frequency Single & Multi-chip Modules based on LCP Substrates High Frequency Single & Multi-chip Modules based on Substrates Overview Labtech Microwave has produced modules for MMIC s (microwave monolithic integrated circuits) based on (liquid crystal polymer) substrates

More information

PRODUCT BROCHURE HP-L Laser Scanner for ROMER Absolute Arm

PRODUCT BROCHURE HP-L Laser Scanner for ROMER Absolute Arm PRODUCT BROCHURE HP-L-20.8 Laser Scanner for ROMER Absolute Arm 2 HP-L-20.8 LASER SCANNER FOR ROMER ABSOLUTE ARM FAST ACCURATE VERSATILE The new laser scanner by Hexagon Metrology Designed for ROMER Absolute

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

DIGITAL TECHNOLOGIES FOR A BETTER WORLD. NanoPC HPC

DIGITAL TECHNOLOGIES FOR A BETTER WORLD. NanoPC HPC DIGITAL TECHNOLOGIES FOR A BETTER WORLD NanoPC HPC EMBEDDED COMPUTER MODULES A unique combination of miniaturization & processing power Nano PC MEDICAL INSTRUMENTATION > BIOMETRICS > HOME & BUILDING AUTOMATION

More information

Ultrasonic Fine Wirebonder

Ultrasonic Fine Wirebonder Ultrasonic Fine Wirebonder F & K Model 2017 F & K DELVOTEC the Fine Wirebonder specialist delivers the perfect solution for any bonding challenge in the automotive, opto-electronics, hybrid technology,

More information

Ultrasonic Fine Wirebonder

Ultrasonic Fine Wirebonder Ultrasonic Fine Wirebonder F & K Model 2017 F & K DELVOTEC the Fine Wirebonder specialist delivers the perfect solution for any bonding challenge in the automotive, opto-electronics, hybrid technology,

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Automotive Electrical & Injection Moulding Specialists. Listen Research Innovate Design. striptinning.com

Automotive Electrical & Injection Moulding Specialists. Listen Research Innovate Design. striptinning.com Automotive Electrical & Injection Moulding Specialists striptinning.com We are committed to driving down costs of automotive heated glazing parts, multi-function brackets and all cabled connectors achieved

More information

Design and manufacture of subsea and topside composite cables and connectors

Design and manufacture of subsea and topside composite cables and connectors Overview Design and manufacture of subsea and topside composite cables and connectors Hydro Group. sales@hydrogroup.plc.uk www.hydrogroup-asia.com tel: +65 63343566 fax: +65 63343566 1 : 5 Hydro Group

More information

CREATING DESIGNS. Wallpaper, Flooring, Surfaces Creativity in Highest Precision

CREATING DESIGNS. Wallpaper, Flooring, Surfaces Creativity in Highest Precision CREATING DESIGNS Wallpaper, Flooring, Surfaces Creativity in Highest Precision 1 2 3 Competence through Service and Performance WE CREATE YOUR SUCCESS 4 5 6 Increasingly ingenious wallpaper and decor designs

More information

Search. Login Register. Patrick Gormally -March 24, 2014

Search. Login Register. Patrick Gormally -March 24, 2014 EDN MOMENT Space Shuttle Columbia is delivered, March 25, 1979 Search Login Register Patrick Gormally -March 24, 2014 Share Tweet 0 Like 0 Over the years medical devices have continually been made smaller;

More information

Chip Assembly on MID (Molded Interconnect Device) A Path to Chip Modules with increased Functionality

Chip Assembly on MID (Molded Interconnect Device) A Path to Chip Modules with increased Functionality T e c h n o l o g y Dr. Werner Hunziker Chip Assembly on MID (Molded Interconnect Device) A Path to Chip Modules with increased Functionality The MID (Molded Interconnect Device) technology enables the

More information

Kistler View2015. Smart Measuring Solutions for Self-Regulated Production Processes. Get Better. With Kistler.

Kistler View2015. Smart Measuring Solutions for Self-Regulated Production Processes. Get Better. With Kistler. Kistler View2015 Smart Measuring Solutions for Self-Regulated Production Processes. Get Better. With Kistler. Page 4 Development Partner on the Innovation Front. Page 5 Smart Systems for Smart Factories.

More information

Yole Developpement. Developpement-v2585/ Publisher Sample

Yole Developpement.  Developpement-v2585/ Publisher Sample Yole Developpement http://www.marketresearch.com/yole- Developpement-v2585/ Publisher Sample Phone: 800.298.5699 (US) or +1.240.747.3093 or +1.240.747.3093 (Int'l) Hours: Monday - Thursday: 5:30am - 6:30pm

More information

KeyTec Suzhou (China) presentation

KeyTec Suzhou (China) presentation KeyTec Suzhou (China) presentation Our company Suzhou KeyTec Precision Components Co. Ltd. (China) Established WOFE in 2000 Total investment 18M$ Fully equiped Toolshop Metal & Plastic & Decoration Components

More information

Brazing Solutions for Car Body Production

Brazing Solutions for Car Body Production Brazing Solutions for Car Body Production www.voestalpine.com/welding Metallurgical Expertise for Best Welding Results (formerly Böhler Welding Group) is a leading manufacturer and worldwide supplier of

More information

Brazing Solutions for the Tooling Industry. voestalpine Böhler Welding

Brazing Solutions for the Tooling Industry. voestalpine Böhler Welding Brazing Solutions for the Tooling Industry www.voestalpine.com/welding Join Expertise Alloys ad brazing technologies for special joint requirements The ever-growing demand for raw materials in conjunction

More information

Micromachining of Glass by Laser Induced Deep Etching (LIDE) LPKF Vitrion 5000

Micromachining of Glass by Laser Induced Deep Etching (LIDE) LPKF Vitrion 5000 Micromachining of Glass by Laser Induced Deep Etching (LIDE) LPKF Vitrion 5000 In microsystems technology, glass is very suitable as a substrate material for a variety of applications. The basis for the

More information

HARTING ecatalogue. Product samples: Fast-track delivery to your desk, free of charge

HARTING ecatalogue. Product samples: Fast-track delivery to your desk, free of charge HARTING ecatalogue The HARTING ecatalogue is an electronic catalogue with a part configuration and 3D components library. Here you can choose a connector according to your requirements. Afterwards you

More information

More Light and Speed in Production Control CORE

More Light and Speed in Production Control CORE More Light and Speed in Production Control CORE CORE The Centre for Production Metrology Developed to speed production, CORE provides the ultimate in flexible 3D inspection solutions for advanced part

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

Brazing Solutions for the Tooling Industry. voestalpine Böhler Welding

Brazing Solutions for the Tooling Industry. voestalpine Böhler Welding Brazing Solutions for the Tooling Industry www.voestalpine.com/welding Metallurgical Expertise for Best Welding Results (formerly Böhler Welding Group) is a leading manufacturer and worldwide supplier

More information

Thin Film Resistor Integration into Flex-Boards

Thin Film Resistor Integration into Flex-Boards Thin Film Resistor Integration into Flex-Boards 7 rd International Workshop Flexible Electronic Systems November 29, 2006, Munich by Dr. Hans Burkard Hightec H MC AG, Lenzburg, Switzerland 1 Content HiCoFlex:

More information

ADVANCED PLASTICS. CBRN DEFENSE SYSTEMS

ADVANCED PLASTICS. CBRN DEFENSE SYSTEMS ADVANCED PLASTICS. CBRN DEFENSE SYSTEMS From mission critical breathing apparatus and medical device assemblies to life science and aerospace products, DWE has over 20 years of experience molding shared

More information

Your partner for solutions tailored to your needs. Connectors Advanced connection solutions

Your partner for solutions tailored to your needs. Connectors Advanced connection solutions Your partner for solutions tailored to your needs Connectors Advanced connection solutions FARSIGHTED PARTNER An international company locally interconnected for industry solutions We serve customers worldwide

More information

Innovative pcb solutions used in medical and other devices Made in Switzerland

Innovative pcb solutions used in medical and other devices Made in Switzerland Innovative pcb solutions used in medical and other devices Made in Switzerland Chocolate Watches Money.PCB`s innovative pcb`s... Customer = innovation driver Need to add more parts and I/O make smaller/thinner

More information

Transparent and conductive foils as a basis for touch sensors

Transparent and conductive foils as a basis for touch sensors Touch sensors PolyTC Transparent and conductive foils as a basis for touch sensors Our revolutionary PolyTC technology provides you with enhanced functionality, design freedom and customization. Trends

More information

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4]

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4] camline http://www.camline.com Booth 22 camline s mission is to provide the highest quality software solutions for factory automation and logistics, helping global manufacturers maintain their competitive

More information

Antennas For Aerospace and Defense

Antennas For Aerospace and Defense Antennas For Aerospace and Defense Applying TE s Leading Consumer and Composite Technologies to Deliver Lighter, Smaller Solutions for Harsh Environments in Aerospace and Defense Advanced Antennas The

More information

Your access to security

Your access to security Your access to security orell füssli security printing ltd security you can trust Your access to security Welcome Welcome to Orell Füssli Security Printing Ltd, one of the world s most experienced companies

More information

Chapter 11 Testing, Assembly, and Packaging

Chapter 11 Testing, Assembly, and Packaging Chapter 11 Testing, Assembly, and Packaging Professor Paul K. Chu Testing The finished wafer is put on a holder and aligned for testing under a microscope Each chip on the wafer is inspected by a multiple-point

More information

SUB-CONTRACT MACHINING. Collaborating with the World s Finest

SUB-CONTRACT MACHINING. Collaborating with the World s Finest SUB-CONTRACT MACHINING Collaborating with the World s Finest 55 Years Expertise Superior Quality Craftsman Tools is one of Europe s Our services range from one-off prototypes Craftsman Tools is fully accredited

More information

Assembly Instructions for SCA6x0 and SCA10x0 series

Assembly Instructions for SCA6x0 and SCA10x0 series Technical Note 71 Assembly Instructions for SCA6x0 and SCA10x0 series TABLE OF CONTENTS Table of Contents...1 1 Objective...2 2 VTI'S DIL-8 and DIL-12 packages...2 3 Package Outline and Dimensions...2

More information

High efficient heat dissipation on printed circuit boards

High efficient heat dissipation on printed circuit boards High efficient heat dissipation on printed circuit boards Figure 1: Heat flux in a PCB Markus Wille Schoeller Electronics Systems GmbH www.schoeller-electronics.com Abstract This paper describes various

More information

Advanced Packaging - Pulsed-laser Heating for Flip Chip Assembly

Advanced Packaging - Pulsed-laser Heating for Flip Chip Assembly Page 1 of 5 Pulsed-laser Heating for Flip Chip Assembly A stress-free alternative By Thorsten Teutsch, Ph.D., Pac Tech USA, Elke Zakel, Ph.D., and Ghassem Azdasht, Pac Tech GmbH As flip chip applications

More information

Microsystem Technology for Eddy Current Testing Johannes PAUL, Roland HOLZFÖRSTER

Microsystem Technology for Eddy Current Testing Johannes PAUL, Roland HOLZFÖRSTER 11th European Conference on Non-Destructive Testing (ECNDT 2014), October 6-10, 2014, Prague, Czech Republic More Info at Open Access Database www.ndt.net/?id=16638 Microsystem Technology for Eddy Current

More information

TAIPRO Engineering. Speaker: M. Saint-Mard Managing director. TAIlored microsystem improving your PROduct

TAIPRO Engineering. Speaker: M. Saint-Mard Managing director. TAIlored microsystem improving your PROduct TAIPRO Engineering MEMS packaging is crucial for system performance and reliability Speaker: M. Saint-Mard Managing director TAIPRO ENGINEERING SA Michel Saint-Mard Administrateur délégué m.saintmard@taipro.be

More information

The 3D silicon leader. March 2012

The 3D silicon leader. March 2012 The 3D silicon leader March 2012 IPDiA overview Company located in Caen, Normandy, France Dedicated to manufacturing of integrated passive devices Employing 100 people and operating own wafer fab Strong

More information

Preliminary Product Overview

Preliminary Product Overview Preliminary Product Overview Features DC to > 3 GHz Frequency Range 25 Watt (CW), 200W (Pulsed) Max Power Handling Low On-State Insertion Loss, typical 0.3 db @ 3 GHz Low On-State Resistance < 0.75 Ω 25dB

More information

THE GLOBAL PARTNERS FOR COMPLETE FLEX-LAY SOLUTIONS...

THE GLOBAL PARTNERS FOR COMPLETE FLEX-LAY SOLUTIONS... Strength in depth WELCOME TO AQUATIC Aquatic, an Acteon company, is the market leading independent operator of carousels, modular drive systems and tensioner solutions for the global oil and gas, telecommunications

More information

Engineered to order. Microtherm delivers added value

Engineered to order. Microtherm delivers added value Engineered to order Microtherm delivers added value - Microtherm has the know how, technology and experience to give your innovation marketability (TS 16949) - In addition to the R&D-process, Microtherm

More information

Seeing the big picture, optimising the details: Solutions in plastic for demanding applications

Seeing the big picture, optimising the details: Solutions in plastic for demanding applications Seeing the big picture, optimising the details: Solutions in plastic for demanding applications Complete solutions in plastic, from concept through to series manufacture Turning ideas into reality Short

More information

In the heart of Industrial electronics

In the heart of Industrial electronics In the heart of Industrial electronics DRIVES SAFETY, SECURITY BUILDING CONTROL MEDICAL ELEVATORS INSTRUMENTATION, MEASUREMENT INDUSTIAL AUTOMATION POWER & UTILITIES ESCALATORS RAILWAY, MARINE & OTHER

More information

Three metals for one goal: Your success Die castings made of Aluminium, Magnesium & Zinc Lightweight construction & system components

Three metals for one goal: Your success Die castings made of Aluminium, Magnesium & Zinc Lightweight construction & system components Three metals for one goal: Your success Die castings made of Aluminium, Magnesium & Zinc Lightweight construction & system components www.power-cast.com 3 metals 1 goal: # your success Aluminium, Magnesium,

More information

controlled energy Å V^

controlled energy Å V^ controlled energy Å V^ Interview with Ralf Schwarzkopf, CEO of Hotset GmbH What are the reasons for these changes? And this has changed hotset s self-perception? hotset is presenting itself with a new

More information

Electroless Bumping for 300mm Wafers

Electroless Bumping for 300mm Wafers Electroless Bumping for 300mm Wafers T. Oppert Internepcon 2006 Tokyo Big Sight, Japan Outline Short Company Profile Electroless Ni/Au Under Bump Metallization UBM for Copper Devices Solder Bumping: Stencil

More information

VERSAPRINT 2 The next generation

VERSAPRINT 2 The next generation VERSAPRINT 2 The next generation The sturdy basic version uses an area camera to align the substrate to the stencil and can use this to carry out optional inspection tasks. The stencil support can be adjusted

More information

LIGHTING MAKING MORE OUT OF LIGHT

LIGHTING MAKING MORE OUT OF LIGHT LIGHTING MAKING MORE OUT OF LIGHT Light Diffusion WHO SAYS YOU CANNOT CALCULATE AN ATTRACTIVE AMBIENCE? ALCOM LD Beauty is hard to express in figures. Our developers have nevertheless succeeded in developing

More information

STAMM AG. On your behalf we take care of product development, manufacture the molds and bring them to series maturity, produce the parts, assemble

STAMM AG. On your behalf we take care of product development, manufacture the molds and bring them to series maturity, produce the parts, assemble PEAK PERFORMANCE IN PLASTIC STAMM AG STAMM is an injection molding company, producer of small components for medical devices. Our products are distinguished by innovative developments, sophisticated production

More information

MIKRON HPM 1150U HPM 1350U

MIKRON HPM 1150U HPM 1350U MIKRON HPM 1150U HPM 1350U High performance milling versus high speed milling - the one cannot replace the other! While with high speed milling the objective is to create as much surface on the workpiece

More information

microelectronics services high-tech requires high-precision microelectronics services

microelectronics services high-tech requires high-precision microelectronics services ELECTRICAL & ELECTRONICS microelectronics services high-tech requires high-precision microelectronics services WORLDWIDE Analysis, TESTING & CERTIFICATION LOCALLY AVAILABLE worldwide Electrical and electronic

More information

Development / Construction. Innovative solutions - Electronics for any application. Made in Germany

Development / Construction. Innovative solutions - Electronics for any application. Made in Germany Development / Construction Innovative solutions - Electronics for any application Made in Germany The IMM electronics GmbH is an experienced service provider and offers you years of expertise in the field

More information

whr Hossinger Kunststofftechnik GmbH

whr Hossinger Kunststofftechnik GmbH whr Hossinger Kunststofftechnik GmbH COMPETENCE Competence Projects Engineering Tooling Molding Innovation How Ideas Turn Into Products We are a creative and flexible development partner for exceptional

More information

The Chosen Partner. Your complete sub-supplier of sheet metal products

The Chosen Partner. Your complete sub-supplier of sheet metal products The Chosen Partner Your complete sub-supplier of sheet metal products Stansefabrikken is a Norwegian based company with long experience as sub-supplier of various sheet metal products. It always has been

More information