Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Similar documents
Modeling of EUV photoresists with a resist point spread function

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Feature-level Compensation & Control

Experimental measurement of photoresist modulation curves

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

EUV Resists: Pushing to the Extreme

In-line focus monitoring and fast determination of best focus using scatterometry

Comparative Study of Mask Architectures for EUV Lithography

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic

DOE Project: Resist Characterization

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Photolithography I ( Part 1 )

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Diffractive optical elements and their potential role in high efficiency illuminators

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B.

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

( 2) ρ π V. Index Terms Sensor wafer, autonomous operation, in-situ data acquitsition, wireless communication INTRODUCTION

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Major Fabrication Steps in MOS Process Flow

i- Line Photoresist Development: Replacement Evaluation of OiR

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Extreme ultraviolet interference lithography with incoherent light

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Sub-50 nm period patterns with EUV interference lithography

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Reducing Proximity Effects in Optical Lithography

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Feature-level Compensation & Control

Inspection of templates for imprint lithography

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

ABSTRACT (100 WORDS) 1. INTRODUCTION

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Photolithography II ( Part 2 )

Wireless Metrology in Semiconductor Manufacturing

MICRO AND NANOPROCESSING TECHNOLOGIES

OPC Rectification of Random Space Patterns in 193nm Lithography

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Process Optimization

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

First lithographic results from the extreme ultraviolet Engineering Test Stand

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths

Synthesis of projection lithography for low k1 via interferometry

Reflection mode imaging with nanoscale resolution using a compact extreme ultraviolet laser

Defense Technical Information Center Compilation Part Notice

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Contrast Enhancement Materials CEM 365HR

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

MICROCHIP MANUFACTURING by S. Wolf

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

EUV Interference Lithography in NewSUBARU

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Lithographic Process Evaluation by CD-SEM

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

IMPACT Roundtable Lithography + DfM

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Device Fabrication: Photolithography

Fundamental Aspect of Photosensitized Chemically Amplified Resist How to overcome RLS trade-off

Extreme Ultraviolet Lithography for 0.1 pm Devices

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Holistic View of Lithography for Double Patterning. Skip Miller ASML

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Contrast Enhancement Materials CEM 365iS

Limitations and Challenges to Meet Moore's Law

Key Photolithographic Outputs

State-of-the-art device fabrication techniques

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

Optolith 2D Lithography Simulator

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Impact of EUV photomask line edge roughness on wafer prints

Lecture 5. Optical Lithography

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

2009 International Workshop on EUV Lithography

Kalman Filtering Methods for Semiconductor Manufacturing

Lithography. International SEMATECH: A Focus on the Photomask Industry

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Lithography Industry Collaborations

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

Transcription:

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, CA 94720 b Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 ABSTRACT Chemically amplified resists depend upon the post-exposure bake (PEB) process to drive the deprotection reactions (in positive resists) that lead to proper resist development. For this reason they often exhibit critical dimension (CD) sensitivity to PEB temperature variation. In this work the effects of variation in different aspects of the PEB step on post-develop CD are studied for two extreme ultraviolet (EUV) photoresists. The spatial and temporal temperature uniformity of the PEB plate is measured using a wireless sensor wafer. Programmed variations in the bake plate temperature set point are then used to measure the CD sensitivity to steady state temperature variation. In addition, the initial temperature ramp time is modified using a thin sheet of polyimide film between the wafer and the bake plate. This allows for measurement of the CD sensitivity to transient temperature variation. Finally, the bake time is adjusted to measure the CD sensitivity to this parameter. Keywords: Extreme ultraviolet (EUV) lithography, micro-exposure tool (MET) optic, synchrotron, photoresist, postexposure bake (PEB) 1. INTRODUCTION Although great progress has been made in extreme ultraviolet (EUV) lithography development over the past few years, significant challenges remain. One such challenge is the development of suitable photoresists. The relatively low power available from EUV sources combined with the loss of roughly 30% per optical element due to absorption means that the power seen at the wafer is small. Therefore, techniques such as chemical amplification are necessary in order to make photoresists sensitive enough to achieve wafer throughput goals for manufacturing. In most chemically amplified resists, the chemical amplification process is driven by a post-exposure bake (PEB) step. Therefore, the post-develop resist pattern may be highly sensitive to PEB parameters. In order to meet the critical dimension (CD) control requirements of the 32-nm technology node, the International Technology Roadmap for Semiconductors (ITRS) 1 mandates a CD sensitivity to PEB temperature variations of 1 nm/ C, well below the current state-of-the-art for deep ultraviolet (DUV) resists. Additional challenges are sure to emerge from the (as yet unmeasured and unspecified) photoresist sensitivity to the transient aspects of the PEB step, although recent experiments have shown that postdevelop CD is particularly sensitive to this component of the PEB process. 2 In this work we present the results of experiments performed using the static Microfield Exposure Tool (MET) printing system at the Advanced Light Source at Lawrence Berkeley National Laboratory. 3-5 This system uses synchrotron radiation at a wavelength of 13.5 nm and the optic has a numerical aperture (NA) of 0.3 and a field size of 600 µm 200 µm at the wafer. Wireless sensor wafers 6 were used to characterize the across-wafer temperature variation of the PEB plate used for wafer processing. Measurements of CD sensitivity to steady state PEB temperature variation are presented in Section 2, while sensitivity to transient temperature variation is discussed in Section 3. The sensitivity to PEB duration is addressed in Section 4. Finally, conclusions are presented in Section 5. * Further author information: (Send correspondence to J.P.C., now with Advanced Micro Devices) J.P.C.: E-mail: jason.cain@amd.com, Telephone: 1 (408) 749-2609

2. STEADY STATE TEMPERATURE SENSITIVITY In order to observe the effect of steady-state temperature variation on resist CD, the target temperature of the PEB bake plate was changed in small increments, and the resulting effect on CD was observed. Rohm and Haas EUV-2D resist was used, with a nominal PEB temperature of 130 C and temperature increments of 2 C on either side of the nominal. The temperature uniformity of the bake plate was measured using a wireless temperature sensor wafer for each temperature set-point, and the results are shown in Figure 1, Figure 2, and Figure 3 after the wafer had reached its ``steady-state'' temperature near the end of the bake cycle. Clearly, the poor temperature uniformity of this bake plate would be unacceptable for use in a production environment. However, in this case the exposed area of the wafer is only approximately 1 cm 2, meaning that good temperature uniformity is not required across the entire 4'' wafer. Examination of the temperature uniformity data shows a similar signature for all three cases: a relatively uniform area near the center of the bake plate, then a rapid drop-off in temperature towards the edges. Therefore, care was taken in all PEB experiments to ensure that the exposed area of the wafer was placed at the center of the bake plate. In addition, the temperature data from the sensor in the center of the sensor wafer was used as the effective PEB temperature for data analysis. The temperature trajectory for the center sensor is shown for all three steady-state temperature settings in Figure 4. Note that the sensor wafer was set to begin data acquisition on a certain temperature change, and therefore some of the initial temperature ramp-up was not captured by this measurement. However, as the goal of this experiment is to determine the effect of steady-state PEB temperature on CD, this was not deemed important. Figure 1. PEB temperature uniformity measured using wireless temperature sensor wafer with bake plate center targeted for the baseline temperature of 130 C. The dotted outline represents the diameter of a 4'' wafer, while the points show the temperature sensor locations.

Figure 2. PEB temperature uniformity measured using wireless temperature sensor wafer with bake plate center targeted for 128 C. The dotted outline represents the diameter of a 4'' wafer, while the points show the temperature sensor locations. Figure 3. PEB temperature uniformity measured using wireless temperature sensor wafer with bake plate center targeted for 132 C. The dotted outline represents the diameter of a 4'' wafer, while the points show the temperature sensor locations.

Figure 4. PEB temperature trajectories for steady-state CD sensitivity experiment measured using temperature sensor wafer. In order to measure the effect of the steady-state PEB temperature on critical dimension, focus-exposure matrix (FEM) wafers were exposed and baked at each of the different temperatures. Rohm and Haas EUV-2D resist was used with a post-application bake temperature of 130 C. The wafers were exposed using a dark field resolution pattern. The post-exposure bake step was performed immediately after exposure to minimize any environmental contamination effects. Each FEM took approximately 45 minutes to expose, which is long compared to the exposure time for a single field (less than one second). However, because the wafer was under vacuum it is expected that contamination effects are unlikely. In addition, at the exposure temperature (20 C) little acid diffusion is expected and acid evaporation should be negligible. The temperature data discussed previously was collected immediately before the PEB of the corresponding wafers in order to minimize the effect any potential temperature drift between the time of measurement and the actual PEB. Each row in the FEM was replicated three times, meaning that each focus-exposure combination had three instances on the wafer. The dose-to-size (E size ) for the relevant feature sizes was then determined for the baseline PEB temperature (130 C), and CD data collected for each replication at E size and best focus. Next wafers were exposed at 128 C and 132 C using the same FEM recipe as the baseline wafer. In each case the CD was measured at the dose corresponding to E size of the baseline wafer and best focus for each replication. The CD data for 100 nm and 60 nm features is shown in Figure 5 and Figure 6, respectively. In each case, a line is fit to the data using linear regression. The slope of the line gives a measure of the CD sensitivity to PEB temperature variation. In this case, the CD sensitivity is -1.46 nm/ C for 100 nm features and -1.82 nm/ C for 60 nm features. The CD variation seen within each temperature group is most likely due to random dose variation within the FEM. This is particularly problematic for smaller features, as they are more sensitive to dose variation. We note that independent measurements have demonstrated the exposure-to-exposure random dose variation to be approximately 1.5%, which is consistent with the scatter observed here.

Figure 5. CD sensitivity to steady-state PEB temperature for 100 nm features. Figure 6. CD sensitivity to steady-state PEB temperature for 60 nm features.

An effort was also made to measure the steady state temperature sensitivity of another EUV photoresist, Rohm and Haas MET-1K (XP 3454C). This resist has higher resolution and lower sensitivity when compared with EUV-2D. The same experimental procedure described above was followed, however, no clear trend was observed in the CD vs. PEB temperature data. The experiment was then repeated with a wider temperature range (varying PEB temperature by ±5 C about the nominal) with a similar outcome, i.e., no trend was seen in the data. Given the relatively low temperature sensitivity seen in EUV-2D (less than 2 nm/ C) and the fact that MET-1K is roughly three times slower than EUV-2D in terms of sensitivity, it seems reasonable to assume that the steady state PEB temperature sensitivity for MET-1K could be lower than that of EUV-2D. Therefore, one explanation for the experimental results for MET-1K may simply be that the temperature sensitivity for this resist is low enough that the CD variation observed is dominated by other effects in the system, most probably the random dose variation discussed above. 3. TRANSIENT TEMPERATURE SENSITIVITY Modifying the temperature trajectory in the transient phase is more difficult than the steady-state. This is accomplished by inserting a thin sheet of Kapton polyimide film (0.005'' thick) between the wafer and the bake plate. This has the effect of slowing the temperature ``ramp-up'' at the beginning of the PEB step. The measured effect on the Kapton film on the initial temperature transient is shown in Figure 7. The ramp time (from 24 C to 110 C) without the Kapton film was 3.1 seconds, while the ramp time with the Kapton film was 30.5 seconds. In order to determine the effect of the change in temperature ramp-up time on CD, wafers were coated with a 125 nm layer of Rohm and Haas MET-1K (XP 3454C) photoresist. The wafers were exposed using a dark field resolution pattern, and the post-exposure bake step was performed immediately after. Post-application bake temperature was 120 C, and the nominal post-exposure bake temperature was 120 C. However, with the Kapton film in place the temperature sensor wafer recorded a temperature of only 118 C at the end of the PEB cycle, so the bake plate set point was adjusted between wafers to achieve a steady-state temperature of 118 C without the Kapton film for the control wafer. Figure 7. Post exposure bake temperature trajectories with and without 0.005'' Kapton polyimide film between the wafer and the bake plate as measured with a commercial wireless temperature sensor wafer.

The CD was then measured for three different feature sizes (50 nm, 60 nm, and 100 nm, equal lines and spaces) in the center of the field using a SEM and the SuMMIT software package 7 with default parameters. The results are shown in Figure 8 and Table 1. 4. PEB TIME SENSITIVITY Variations in the duration of the PEB step can also affect the resulting critical dimension by changing the amount of time in which the chemical reactions responsible for changing the solubility of the exposed resist can occur. In order to characterize this effect for a sample EUV photoresist, an experiment was performed in which the PEB time was varied and the resulting CD measured. The experimental setup was very similar to that described in Section 3. Wafers were coated with a 125 nm layer of Rohm and Haas MET-1K (XP 3454C) photoresist and exposed using the same dark field resolution pattern. The postexposure bake step was performed immediately after exposure. Post-application bake and post-exposure bake temperatures were both 120 C. One wafer was baked for the nominal PEB time of 90 seconds, while a second wafer was baked for 100 seconds. The CD was then measured for three different feature sizes (50 nm, 60 nm, and 100 nm, equal lines and spaces) in the center of the field using a SEM and the SuMMIT software package with default parameters. The results are shown in Table 2. Figure 8. CD sensitivity to PEB temperature ramp time for Rohm and Haas MET-1K resist.

Table 1. Effect of PEB temperature ramp time on CD for Rohm and Haas MET-1K (XP 3454C). Nominal CD CD (no Kapton) CD (with Kapton) CD Ramp Time Sensitivity 50 nm 48.6 nm 56.5 nm 0.29 nm/sec 60 nm 57.4 nm 63.9 nm 0.24 nm/sec 100 nm 89.0 nm 95.3 nm 0.23 nm/sec Table 2. Effect of PEB time on CD for Rohm and Haas MET-1K (XP 3454C). Nominal CD CD (PEB = 90s) CD (PEB = 100s) PEB Time Sensitivity 50 nm 52.6 nm 48.4 nm 0.42 nm/sec 60 nm 61.1 nm 56.9 nm 0.42 nm/sec 100 nm 90.5 nm 87.6 nm 0.29 nm/sec 5. CONCLUSIONS The effect of variation in key PEB parameters on post-develop CD was studied for EUV photoresists. The effect of steady-state PEB temperature was measured for Rohm and Haas EUV-2D and found to be less than 2 nm/ C. In addition, the effect of initial temperature ramp time was measured for Rohm and Haas MET-1K and found to be on the order of 0.3 nm/sec ramp time. Finally, the effect of PEB time variation on CD was measured to be around 0.4 nm/sec for MET-1K. While the requirements for sensitivity to steady state PEB temperature variation are specified in publications such as the ITRS (1 nm/ C for the 32-nm node), it seems that more attention should be given to either specifying tight limitations on PEB temperature ramp time or on methods for mitigating sensitivity to variation in this parameter. The results presented here may serve as a basis for comparison in the future development of EUV photoresists. ACKNOWLEDGMENTS Many thanks are due to the excellent technical staff at CXRO, including Ken Goldberg, Paul Denham, Brian Hoef, and Erik Anderson. Thanks are also due to Kim Dean of SEMATECH for her support of this research, and to Robert Brainard of Rohm and Haas for resist support. Lawrence Berkeley National Laboratory is operated under the auspices of the Director, Office of Science, Office of Basic Energy Science, of the US Department of Energy. This work was funded by Advanced Micro Devices, Applied Materials, Atmel, Cadence, Canon, Cymer, DuPont, Ebara, Intel, KLA- Tencor, Mentor Graphics, Nikon Research, Novellus Systems, Panoramic Technologies, Photronics, Synopsis, Tokyo Electron, and the UC Discovery Grant. The wireless sensor wafer used in this study was supplied by OnWafer Technologies.

REFERENCES 1. International Technology Roadmap for Semiconductors, 2004 Update, http://public.itrs.net. 2. P. D. Friedberg, C. Tang, B. Singh, T. Brueckner, W. Gründke, B. Schulz, C. J. Spanos, Time-based PEB adjustment for optimizing CD distributions, in Metrology, Inspection, and Process Control for Microlithography XVIII, R. M. Silver, ed., Proc SPIE 5375, p. 703-712, 2004. 3. P. Naulleau, K. A. Goldberg, E. Anderson, K. Bradley, R. Delano, P. Denham, B. Gunion, B. Harteneck, B. Hoef, H. Huang, K. Jackson, G. Jones, D. Kemp, J. A. Liddle, R. Oort, A. Rawlins, S. Rekawa, F. Salmassi, R. Tackaberry, C. Chung, L. Hale, D. Phillion, G. Sommargren, J. Taylor, Status of EUV microexposure capabilities at the ALS using the 0.3-NA MET optic, in Emerging Lithographic Technologies VIII, R. Scott Mackay, ed., Proc. SPIE 5374, pp. 881 891, 2004. 4. P. Naulleau, K. A. Goldberg, E. Anderson, J. P. Cain, P. Denham, K. Jackson, A.-S. Morlens, S. Rekawa, F. Salmassi, "Extreme ultraviolet microexposures at the Advanced Light Source using the 0.3 numerical aperture micro-exposure tool optic," J. Vac. Sci. Tech. B, 22(6), pp. 2962 2965, Nov./Dec. 2004. 5. P. P. Naulleau, K. A. Goldberg, E. H. Anderson, J. P. Cain, P. Denham, B. Hoef, K. Jackson, A. Morlens, S. Rekawa, EUV microexposures at the ALS using the 0.3-NA MET projection optics, in Emerging Lithographic Technologies IX, R. Scott Mackay, ed., Proc. SPIE 5751, 2005. 6. M. Freed, M. Krüger, C. J. Spanos, K. Poolla, Autonomous on-wafer sensors for process modeling, diagnosis, and control, IEEE Trans. Semiconduct. Manufact., 14(3), pp. 255-264, Aug. 2001. 7. SuMMIT software is distributed by EUV Technology, Martinez, CA 94553, http://www.euvl.com/summit