A Review of Low-Power VLSI Technology Developments

Similar documents
Session 3: Solid State Devices. Silicon on Insulator

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

INTRODUCTION: Basic operating principle of a MOSFET:

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

Basic Fabrication Steps

Solid State Devices- Part- II. Module- IV

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Power MOSFET Zheng Yang (ERF 3017,

FUNDAMENTALS OF MODERN VLSI DEVICES

UNIT-1 Fundamentals of Low Power VLSI Design

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

Future MOSFET Devices using high-k (TiO 2 ) dielectric

MOSFET short channel effects

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

Reliability of deep submicron MOSFETs

420 Intro to VLSI Design

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Device design methodology to optimize low-frequency Noise in advanced SOI CMOS technology

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

PAPER SOLUTION_DECEMBER_2014_VLSI_DESIGN_ETRX_SEM_VII Prepared by Girish Gidaye

EECS130 Integrated Circuit Devices

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Chapter 2 : Semiconductor Materials & Devices (II) Feb

FinFET vs. FD-SOI Key Advantages & Disadvantages

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

EE301 Electronics I , Fall

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

Review of Power IC Technologies

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Design of 45 nm Fully Depleted Double Gate SOI MOSFET

FinFET Devices and Technologies

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

2014, IJARCSSE All Rights Reserved Page 1352

Journal of Electron Devices, Vol. 20, 2014, pp

Topic 3. CMOS Fabrication Process

Semiconductor TCAD Tools

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

SPECIAL REPORT SOI Wafer Technology for CMOS ICs

Session 10: Solid State Physics MOSFET

Semiconductor Physics and Devices

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

INTRODUCTION TO MOS TECHNOLOGY

Performance Evaluation of MISISFET- TCAD Simulation

Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

EECS130 Integrated Circuit Devices

Semiconductor Devices

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

MEASUREMENT AND INSTRUMENTATION STUDY NOTES UNIT-I

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

EMT 251 Introduction to IC Design

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

Newer process technology (since 1999) includes :

MOSFET & IC Basics - GATE Problems (Part - I)

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Lecture #29. Moore s Law

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Topic 2. Basic MOS theory & SPICE simulation

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Design of Optimized Digital Logic Circuits Using FinFET

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Ultra Low Power VLSI Design: A Review

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

Mechanis m Faliures. Group Leader Jepsy 1)Substrate Biasing 2) Minority Injection. Bob 1)Minority-Carrier Guard Rings

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline:

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

Sub-Threshold Region Behavior of Long Channel MOSFET

2.8 - CMOS TECHNOLOGY

Transcription:

A Review of Low-Power VLSI Technology Developments Nakka Ravi Kumar Abstract Ever since the invention of integrated circuits, there has been a continuous demand for high-performance, low-power, and low-area/low-cost diversified applications from a variety of consumers. This demand has been pushing the fabrication process beyond ultra-deep, sub-micron technologies such as, 32, 22, 14 nm, and so on. In this chapter, various technological aspects for low-power applications are reviewed in detail, along with the evolution of new technology, bearing in mind the PPA (power, performance, and area). Some basic reviews of components of power consumption in CMOS are also given. Keywords Low-power VLSI VLSI technology CMOS power consumption Bulk CMOS SOI FINFET 1 Introduction Electronic devices and systems have entered into almost every corner of human life. Most of these devices, at present, are portable and battery operated. The evolution of the computer moved from requiring a huge powerhouse to run one device, to a small handheld battery operated device like a palm-top. All these portable electronic devices need ICs to operate under low-power consumption. Along with the lower power consumption demand, there is a demand for high performance. In addition, all these low-power and high-performance devices are demanded at low cost. The aforementioned demands have pushed the fabrication process from a micrometer level to a nanometer level. Scaling down vertical and lateral dimensions and the voltages of the MOS transistors will increase the performance of the circuit in terms of speed, decrease the overall power dissipation of the chip, and reduce the area of the chip, thereby the cost per transistor. N. Ravi Kumar (&) ECE Department, Mahaveer Institute of Science and Technology, Keshavagiri Vyasapuri, Bandlaguda, R.R.District, Hyderabad 500 005, Telangana, India e-mail: nrkumar_2002@yahoo.co.in Springer Nature Singapore Pte Ltd. 2018 H.S. Saini et al. (eds.), Innovations in Electronics and Communication Engineering, Lecture Notes in Networks and Systems 7, https://doi.org/10.1007/978-981-10-3812-9_2 17

18 N. Ravi Kumar There are various scaling methods adopted for CMOS: constant field scaling or full scaling, constant voltage scaling, and generalized scaling [1 3]. Due to the restrictions of some material properties, such as the energy band gap, built in voltage, etc., the voltages could not be scaled down as rapidly as dimensions. Because of this, current densities and power densities will increase. An increase in power density increases heat. After a certain limit, managing heat becomes more expensive, if the power density is not addressed properly, than the actual chip fabrication cost. In addition to this, the need of portable battery operated devices along with their reliability issues, thermal dissipation, and scalability, have demanded for low supply voltages. CMOS is the best choice for low-power applications. The power consumption of CMOS can further be reduced to a great extent by carefully studying the parameters influencing the power consumption and then by designing the CMOS technology for power efficiency. In the text below (Sect. 2) we deal with various components of CMOS power consumption and the parameters influencing each of them. The drive for lowering power dissipation is emphasized in Sect. 3. Technological approaches to lowering the power consumption are described in Sect. 4. The need for low power along with high speed for certain applications has led to new technological inventions. These demands and inventions are described in Sect. 5. Section 6 concludes the chapter. 2 Components of CMOS Power Consumption The total power consumption in a CMOS circuit consists of three components, namely, dynamic power (P D ), static power/leakage power (P leak ), and short circuit power (P SC ). 2.1 Dynamic (Active) Power Consumption (P D ) This component of power consumption is due to switching activity of logic gates in a CMOS circuit. The gates will be continuously charging and discharging their load capacitances according to the logic-switching activity. This power consumption depends on power supply voltage (V DD ), switching frequency (f s ), and switching node capacitance (C l ). Dynamic power occurs when the device is ON. If the total number of gates in a chip are n and the average switching frequency and the average switching node (load) capacitances are f s and C l, respectively, then the total dynamic power consumption of the chip is given by:

A Review of Low-Power VLSI Technology Developments 19 P D ¼ n f s 1=2 C l V 2 DD ð1þ 2.2 Static DC Power/Leakage Power Consumption (P leak ) Static power occurs when the device is OFF. The MOS transistors act as switches for digital applications. An ideal switch is expected to have infinite input impedance and infinite OFF state resistance. Infinite input impedance means the gate should be perfectly isolated from the substrate. However, in practical terms when the gate oxide thickness is scaled down, there will be some leakage of current from the gate (I gate ) to drain due to tunneling and hot carrier injection (Fig. 1). Infinite OFF state resistance means there should not be any drain to source current when the gate voltage is below its threshold. However, there will be some diffusion current when the gate voltage is below VT. This current is called sub-threshold leakage current (I sub ). There is another leakage current from drain to substrate, which is called junction leakage current (I j ). One more source of leakage current is gate induced drain leakage (GIDL; I GIDL ) (Fig. 1). The total leakage current (I leak ) is given by: I leak ¼ I gate þ I sub þ I j þ I GIDL ð2þ Leakage power (P leak ) is given by: P leak ¼ I leak V DD. Though leakage power is negligible compared to active power, for a long channel behavior device, it becomes comparable for devices with short channel effects. Short channel effects are the result of generalized scaling, where voltages are not scaled down as rapidly as dimensions are. As shown in Fig. 2, the power consumption due to leakage current becomes a significant factor for the total power consumption. Fig. 1 Sources of leakage currents in an NMOS transistor G Gate D Source Drain N+ N+ S Substrate ( P type)

20 N. Ravi Kumar Fig. 2 Trend of dynamic and leakage power against device dimensions Fig. 3 a Input waveform; b Short circuit current spikes 2.3 Short Circuit Power Consumption (P SC ) This component of power consumption comes about due to the momentary ON state of both NMOS and PMOS of a CMOS gate during transitions. Due to a finite rise time and fall time of the input waveform of a gate, both NMOS and PMOS transistors are ON for short periods of time. As shown in Fig. 3, this results in spikes of short circuit currents during transitions. The short circuit power (P SC ) is given by: P SC ¼ I mean V DD. This short circuit current can be totally eliminated by making V DD \ V tp þ Vtn.

A Review of Low-Power VLSI Technology Developments 21 3 Drive to Lower Power Dissipation Even if one can afford to pay for the power, one cannot get along with it because, as the chip size shrinks, the power dissipation per unit area increases. This will increase the temperature of the chip. The increased temperature will deteriorate the carrier mobility and V T. Therefore, the performance of the chip will be greatly deteriorated. Moreover, as the temperature rises, the minority carrier concentration also rises. This leads to increased leakage current. As we have seen in the previous section, increased leakage current leads to increased static power dissipation. This will further increase the chip temperature and eventually lead to chip breakdown. Though scaling of CMOS technologies reduces the overall power dissipation of a chip, the power density increases (Fig. 4) for conventional CMOS processes. The power density increases with decreasing minimum feature size of CMOS technology for generalized scaling. The projection of power density is not far from that exhibited on a nuclear reactor surface. This has driven the requirement to invent new technologies and design methodologies for low-power dissipation density. In addition to these thermal limitations, there is a constant demand for low-power consumption from battery operated portable devices. Low-power dissipation ensures long battery life. Fig. 4 Power density against feature size of CMOS technology (Courtesy of Fred Pollack, Intel CoolChips tutorial Micro-32)

22 N. Ravi Kumar 4 Approaches for Lowering Power Consumption Power consumption has to be addressed both at technological level as well as at circuit design level. For ultra-low-power applications, optimization and adoption of innovative circuit design techniques at all levels of abstraction, along with innovations in new technologies, have become mandatory. As we have seen in Sect. 2, the overall power consumption consists of three components, from which short circuit power consumption can be eliminated. We shall now identify methods of reducing dynamic power and leakage power. 4.1 Ways to Reduce Dynamic Power (P D ) The dynamic power in CMOS, given by (1), depends on switching frequency (f s ), load capacitance (C L ), and power supply voltage (V DD ). The dynamic power can be reduced by reducing any, some or all of these parameters. Dynamic power has a quadratic dependence on V DD. Therefore, reducing V DD reduces P D drastically. However, V DD cannot be reduced arbitrarily, because, it has to be compatible with the system in which the chip is assembled. However, the power supply voltage of CMOS technologies has been scaled down (as shown in Table 1) after a global agreement among major VLSI industries. Some circuit design techniques adopt a multiple power supply. They generate a low supply voltage internally for power sensitive blocks and retain a high V DD for critical path circuits [4]. Switching frequency can be reduced by using encoding and decoding techniques. The load capacitance, C L, constitutes gate oxide capacitance, C ox, interconnect capacitance, C I, and junction capacitance, C J. By optimizing the gate areas and interconnect areas, load capacitance can be reduced. At the technological level, the interconnect capacitance can be reduced by low k (low dielectric constant) dielectric layers underneath the metal layers. The junction capacitance can be reduced greatly in SOI and FINFET technologies. Table 1 Typical scaling scenario of V DD Year of introduction Technology node Power supply (V DD ) 1974 5 µm 10V 1984 1 µm 5V 1994 0.35 µm 3.5 V 2004 90 nm 1 V

A Review of Low-Power VLSI Technology Developments 23 4.2 Ways to Reduce Static Power As discussed in Sect. 2.2, as the technology shrinks, static power consumption becomes comparable to dynamic power consumption. The static power in CMOS, given by (3), depends on I leak and V DD. Reducing V DD reduces static power. I leak should also be reduced for ultra-low-power applications. Dealing with these four components of leakage current, as given in (2), is becoming more and more of a prime concern as technology shrinks. Sub-threshold Leakage Current (I sub ) Low V DD requires a low threshold voltage. Leakage current increases as the threshold voltage decreases. For a particular target threshold voltage, the sub-threshold leakage current can be minimized by making the sub-threshold slope close to its minimum value. The sub-threshold slope is given by: S ¼ ðln 10Þ ðkt=qþ ððc ox þ C d þ C it Þ=C ox Þ ð3þ where C ox is the gate oxide capacitance; C it is the interface trap capacitance; and C d is the depletion capacitance. The minimum value of the sub-threshold slope is ðln 10Þ ðkt=qþ, which is approximately 60 mv/decade. The sub-threshold slope can be made close to its minimum value by making C ox (C d + C it ). Now, C d can be reduced by making the substrate doping very low. However, making substrate doping low causes punch through. To avoid this, new technologies, like SOI and FINFET, have been invented. The interface trap capacitance, C it, can be reduced with a good insulator substrate interface. Junction Leakage Current (I j ) The substrate current generated by impact ionization at the drain substrate junction triggers a parasitic bipolar action in the MOSFET. This onset of bipolar action further increases the leakage current. So, preventing this bipolar action is essential. Gate Leakage Current (I gate ) Gate leakage current consists of band-to-band tunneling current, hot carrier injection current, and gate induced drain leakage current. As the gate dielectric thickness is reduced, gate leakage current becomes a serious problem. One possible solution is to choose high dielectric constant materials instead of silicon dioxide (SiO 2 ). For the same capacitance, the insulator thickness can be increased for high k dielectrics compared to SiO 2. Therefore, the electric fields are reduced and hence the leakage currents are too. Some choices of high-k dielectrics are: Al 2 O 3, HfO 2,ZrO 2,Y 2 O 3, etc. [1]. Gate Induced Drain Leakage Current (I GIDL ) This phenomenon occurs due to an accumulation of the majority carriers in the substrate under the gate near the drain. In the case of NMOS, the accumulated holes under the gate, near the drain/substrate junction, causes pre-matured impact ionization. This leakage is called I GIDL [5], which can be reduced by using high-k gate dielectrics.

24 N. Ravi Kumar 5 New Technologies for Ultra Low Power and High Performance As discussed in the previous section, lowering V DD reduces power consumption and also suppresses reliability problems. In conventional CMOS technology, where POCL 3 degenerately doped N+ poly silicon is used as a gate material for both NMOS and PMOS transistors, the threshold voltage adjustment requires a separate boron implantation. In this technology, a PMOS transistor turns out to be a buried channel device, which is also known as a compensated MOSFET. Reducing the threshold voltage of these compensated MOSFETs is difficult. Therefore, to achieve low V T for both NMOS and PMOS, dual-poly gate technology is adopted. Here, N+ poly is used for NMOS and P+ poly is used for PMOS. The poly doping is undertaken along with a self-aligned source/drain implant [6]. However, these conventional bulk CMOS technologies are not free from sub-threshold leakage, parasitic bipolar current, punch through, DIBL, high junction capacitances, and other short channel effects. There is one further problem with this technology the poly depletion effect [7], which becomes more prominent as the gate oxide thins. The performance and power goals for certain applications in the advanced nodes of 40, 32 nm, etc., could not be achieved with conventional bulk CMOS processes. This has led to alternative technologies. These new technologies are SOI and FINFET. In both of these technologies the body is made very thin so that the gate has maximum control over the channel. The threshold voltage is adjusted using mid-gap materials for gate electrodes. These technologies postpone the short channel effects to future generations. These technologies ensure not only a reduced I off, due to the decreased leakage currents, but also provide increased I ON, due to an increase in carrier mobility owing to very lightly doped or undoped bodies. 5.1 SOI Technology Silicon-on-insulator (SOI) technology was invented to reduce a device s leakage current, increase its ON current, and reduce its capacitance [8 10]. In SOI fabrication technology, transistors are built on a silicon layer resting on an insulating layer of SiO 2 (as shown in Fig. 5). The insulating layer sits on top of the silicon substrate. There are different ways of manufacturing SOI wafers: SOS (silicon-on-sapphire), SIMOX (Separation by IMplanted OXygen), BESOI (bond and etch-back SOI), Smart Cut, and ELTRAN (Epitaxial Layer TRANsfer). The description of all these processes is beyond the scope of this chapter. If the body is not very thin, it will be partially depleted. The device is then called partially depleted SOI or PDSOI. These devices suffer history effect and kinks in output characteristics due to parasitic bipolar action. These problems are avoided by

A Review of Low-Power VLSI Technology Developments 25 Leakage path No leakage Fig. 5 A bulk NMOS transistor versus SOI NMOS making the body and base oxide (BOX) thicknesses very thin. The body of these devices is fully depleted, and the technology is thus called fully depleted SOI or FDSOI [11]. If the body thickness is ultra-thin, the technology is called ultra-thin body SOI or UTBSOI. The advantages of SOI over Bulk CMOS are: higher performance due to reduced capacitances and increased I ON ; latch-up elimination due to vertical and lateral isolation of the transistors; reduced temperature sensitivity; a removal of the need for body or well taps; reduced antenna effects; small transistor sizes saving areal extent; low-power consumption due to ultra-low-leakage currents; and reduced parasitic capacitances. The disadvantages are: high wafer costs and self-heating. Heating may not be a problem in ultra-low-power devices. We consider SOI technology is the best choice for RF applications. 5.2 FINFET Technology As mentioned in the previous section, the solution to leakage problems is to make the body ultra-thin in order to have better gate control. In SOI technologies, the body is thin and planar. However, the body can also be made thin and vertical, where the gate will be on both sides, or on all sides (as shown in Fig. 6). This technology is called FINFET technology [12, 13]. The thin silicon fin can be made on a bulk silicon substrate or on a SOI substrate. As shown in Table 2, both these technologies have their own advantages and disadvantages. INTEL uses FinFET technology down to 10-nm nodes.

26 N. Ravi Kumar Fig. 6 Fin on bulk and fin on SOI Table 2 Fin on bulk versus fin on SOI Fin on bulk substrate Fin on SOI substrate Δ HFin (variation in Fin height) is greater ( ) Δ HFin is less (+) Substrate is cheaper (+) SOI substrate is costly ( ) Heat is easily dissipated (+) Heat dissipation is a problem ( ) Parasitic BJT will exist ( ) No parasitic BJT (+) Source/drain region epitaxy is possible (+) Source/drain epitaxy is not possible ( ) 6 Conclusion Demand for high performance and low-power consumption has led CMOS scaling from micrometer ranges to nanometer ranges. These demands could not be met with conventional CMOS technologies and thus led to the invention of new technologies. Various components of power consumption in CMOS are discussed in detail in this chapter. The drive for low-power consumption and the methodologies adopted to reduce power consumption in CMOS are also discussed in detail. Finally, the technologies developed to achieve these goals have been reviewed. References 1. Sze SM Physics of semiconductor devices, 3rd edn. Wiley India publications 2. Moore GE (1965) Cramming more components on integrated circuits. Electronics 38(8):19 3. Haron NZ, Hamdioui S, Why is CMOS scaling coming to an end? http://ce-publications.et. tudelft.nl/publications/510_why_is_cmos_scaling_coming_to_an_end.pdf 4. Kim K, Agrawal VD (2011) Ultra low energy CMOS using below-threshold dual-voltage supply. J Low Power Electron 7:1 11 5. Chen J et al (1987) Sub-break down leakage current in MOSFETS. IEEE Electron Device Lett EDL-8:515

A Review of Low-Power VLSI Technology Developments 27 6. Pandey SM, Kumar NR, Sankar GK, Pal DK, Roy JN (1999) Optimization of dual poly gate low voltage CMOS process. Proceedings of the Tenth International Work shop on the Physics of Semiconductor Devices,Vol part2, pp 871 874 ISSN: 0277786X, ISBN: 9780819436016 [0819436011] 7. Sallase JM (2000) Improved analytical modeling of polysilicon depletion in MOSFETS for circuit simulation. Solid-State Electron 44:905 912 8. Bhat KN, Silicon on insulator (SOI) devices. Lecture notes. http://ece.iisc.ernet.in/ *navakant/nano/2007/lecture23.pdf 9. Singh RK, Saxena A, Rastogi M (2011) Silicon on insulator technology review. Int J Eng Sci Emerg Tech 1(1):1 16 IJESET. ISSN: 2231-6604 10. Crisoloveanu S (2000) Architecture of SOI transistors: what s next? In: 2000 IEEE international SOI conference, pp 1 2 11. Sakurai T, Matsuzawa A, Douseki T (2006) Fully-depleted SOI CMOS circuits and technology for ultralow-power applications. Springer, New Jersey 12. Liu T-JK (2012) FinFET history, fundamentals and future. In: 2012 symposium on VLSI technology short course 13. Hu C, FinFET and other new transistor technologies. Lecture notes. http://microlab.berkeley. edu/text/seminars/slides/2011-8_finfet_and_the_concept_behind_it.pdf

http://www.springer.com/978-981-10-3811-2