Light Sources for High Volume Metrology and Inspection Applications

Similar documents
Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

Laser Produced Plasma Light Source for HVM-EUVL

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

EUV Light Source The Path to HVM Scalability in Practice

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

Compact EUV Source for Metrology and Inspection

NIST EUVL Metrology Programs

S26 Basic research on 6.x nm EUV generation by laser produced plasma

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

Bridging the Gap Between Tools & Applications

EUV lithography: status, future requirements and challenges

EUVL Activities in China

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Fiber Lasers for EUV Lithography

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

EUV Actinic Blank Inspection Tool Development

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Development of scalable laser technology for EUVL applications

LPP EUV Source Development and HVM I Productization

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

IN-LAB PELLICLE METROLOGY CHALLENGES

High repetition-rate LPP-source facility for EUVL

Improving efficiency of CO 2

Nikon EUVL Development Progress Update

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Development of ultra-fine structure t metrology system using coherent EUV source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

EUV lithography: today and tomorrow

MICROCHIP MANUFACTURING by S. Wolf

EUVL Activities in China

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

EUV Lithography Transition from Research to Commercialization

Instructions for the Experiment

Development of a fast EUV movie camera for Caltech spheromak jet experiments

The KrF alternative for fast ignition inertial fusion

Photon Diagnostics. FLASH User Workshop 08.

Part 5-1: Lithography

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

APRAD SOR Excimer group -Progress Report 2011-

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Optimization of supercontinuum generation in photonic crystal fibers for pulse compression

membrane sample EUV characterization

Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, 77. Table of Contents 1

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Narrow line diode laser stacks for DPAL pumping

EXPERIMENTAL OBSERVATIONS OF THE LASER KEYHOLE WELDING PROCESS OF AA

Scope and Limit of Lithography to the End of Moore s Law

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography

Test procedures Page: 1 of 5

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Collector development with IR suppression and EUVL optics refurbishment at RIT

Major Fabrication Steps in MOS Process Flow

EUVL getting ready for volume introduction

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

High Power Dense Spectral Combination Using Commercially Available Lasers and VHGs

PGx11 series. Transform Limited Broadly Tunable Picosecond OPA APPLICATIONS. Available models

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

SPRAY DROPLET SIZE MEASUREMENT

Commissioning of Thomson Scattering on the Pegasus Toroidal Experiment

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

On-line spectrometer for FEL radiation at

J-KAREN-P Session 1, 10:00 10:

Features. Applications. Optional Features

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Lithography Industry Collaborations

Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution

Liquid-nitrogen-jet laser-plasma source for compact soft x-ray microscopy

Product Presentation. BraggStar TM Industrial-LN (line narrowed) Breakthrough in Interferometric (IF) Fiber Bragg Grating (FBG) Writing Process

EUV Plasma Source with IR Power Recycling

Improving the Collection Efficiency of Raman Scattering

STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA

Thermal management and thermal properties of high-brightness diode lasers

High-power semiconductor lasers for applications requiring GHz linewidth source

High Power Pulsed Laser Diodes 850-Series

Atlantic. Industrial High Power Picosecond Lasers. features

Photolithography II ( Part 2 )

Copyright 2000 Society of Photo Instrumentation Engineers.

Defense Technical Information Center Compilation Part Notice

Report on BLP Spectroscopy Experiments Conducted on October 6, 2017: M. Nansteel

Vertical External Cavity Surface Emitting Laser

Transcription:

Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1

Inspection Tools in Semiconductor Industry Fundamental for wide range of processes (overlay measurements, critical dimensions (CD) control, patterned and un-patterned wafers, masks, defects review). for process development: sub-nm resolution with low throughput, wide range of defect type detection: electron beams Production line: high throughput essential but with lower sensitivity Actinic mask inspections of 1 to 2 hours each 100-150 w/hr for un-patterned, 30-50 w/hr for patterned wafer with 10-20 nm sensitivity Die to Die comparison and focus on yield-limiting defects (DOI) on 300 mm (450 mm future) wafer sizes Speed of detection is more important than resolution, focus on particles (main source of defects on IC) photon beams lasers or broadband sources facing optical diffraction limit and unique tool not available for all type of defects 11/10/15 2

LPP in Semiconductor Industry Source Concept KW power range and high rep. rate laser (e.g. Nd:YAG) Droplet generator (key technology): regenerative target plasma debris reduction droplet-laser synchronization Plasma formation: photons (line and continuum emission) charged particles neutrals & fragments Advantages: High power (watt range) High throughput Incoherent light emission Emission from the Soft X ray range to the visible range Source Life time Brightness (for inspection tools) Source Stability Semiconductor Industry: Key technology was previously developed and de-risked for application in EUV Mask Inspection at 13.5 nm with tin droplets (EUV emission at 13.5 nm) Adaptation and extension to inspection applications: Actinic Mask Inspection, Actinic Blank Inspection, Actinic Pattern Inspection potential DUV source for sub-20nm defect sensitivity 11/10/15 3

Some Applications of Light Sources in Semiconductor Industry Field Application Main Strengths Actinic Inspection Other Inspections AIMS Mask Blank Inspection Mask Patterned Inspection Wafer Inspection Darkfield Wafer Inspection brightfield stability Brightness COO/Availability EUV/ DUV Brightness COO/Availability HVM Requirements: Brightness > 40 to 250+ (W/mm 2 sr) Uptime 90 to 95% Pulse to Pulse as well as mean positional and temporal Stability No debris after IF and match entendue of tool 4

ALPS II Facility at ETH Zürich Gas / liquid panels Dispenser docking Fuel supply ALPS II (2013) Fully automated facility for long-term operation and lifetime studies (2013) Control unit Laser head, beam line Source chamber Laser control rack Other facilities: ALPS I (2007) DTF-Droplet Test Facility (2009) Nd:YAG Laser: 1.6 kw average power, λ=1.064 µm, 20 khz rep. rate, typical I=2x10 11 W/cm 2 Droplet dispenser with 24hrs run time (30-50 µm droplets with 5-100 khz) Closed loop control system with integrated laser triggering to keep droplets at laser focus position (spatial resolution of ± 5 µm) Debris mitigated grazing incidence collector, including clean IF module with imaging capability. Plasma diagnostics for charged particles detection and radiation detection (EUV to visible) 11/10/15 5 SPIE 2015 - Nadia Gambino

Plasma EUV Source Characteristics (ca 2013) Laser Irradiance: I=2x10 11 W/cm 2 Pulse length: 38 ns Parameters Value Laser power on target (W) 1100 Laser frequency (khz) >6 Laser focal spot size (µm) 70 (FWHM) Conversion Efficiency (CE) > 1% EUV source size (µm) 60 (FWHM) Source power at the source (W) >12 Recent System level advancements: Source brightness (W/mm 2 sr) >350 Emission stability using droplet control in both in time and space Debris mitigated EUV collector and Cleanliness validation of tin-based LPP source after IF Characterization of source emission (both radiation and debris) with several plasma diagnostics (Langmuir Probe array, EUV pinhole camera, VUV spectrometer ) Long-term efforts towards other wavelengths, alternative fuels 11/10/15 6

Alternative Droplet Target Fuels Indium and Gallium: low vapor pressure, high surface tension low melting point low toxicity emission in from 30 nm to 160 nm stable jets at low temperatures Melting Point ( C) Surface Tension (dyn/cm) Vapor Pressure ( C for 1 Pa) Sn Ga In 231.9 29.8 156 552 725 556 1224 1037 923 Laser irradiance ϕ vs. desired ion stages, electron temperature T e and atomic number A: 7 70 Ga khz droplets Ga @ 70 khz InV around 40 nm needs 12 ev, with ϕ 7x10 8-9x10 9 W/cm 2 11/10/15

Example: Ga, In and Sn Spectra at 30 to 50 nm: Spectral Radiance [µj/nm] 40 30 20 10 0 100 50 0 80 60 40 20 0 GaV GaV 32.23 nm GaIV 37.39 nm GaIV 42.28 nm 28 30 32 34 36 38 40 42 44 46 48 50 InV 31.69 nm InV 38.27 nm 37.86 nm 38.86 nm 37.58 nm 39.38 nm 37.29 nm 40.01 nm 37.0 nm 40.73 nm 39.77 nm 28 30 32 34 36 38 40 42 44 46 48 50 SnVII SnV 35.51 nm He-0.1mbar SnV 36.08 nm 38.48 nm SnV 37.21 nm 39.09 nm 40.93 nm InIV 47.93 nm 28 30 32 34 36 38 40 42 44 46 48 50 Ga In Sn from 30 to 50 nm observed charge states: from 3+<q<6+ Indium has higher spectral radiance in He with respect to Sn and Ga Range (nm) Integrated Power (Watt) Ga In Sn 30-50 0.27 1.70 0.69 117-137 0.94 1.66 1.34 30-163 2.38 5.8 3.7 Wavelength [nm] SPIE 2015 - Nadia Gambino 11/10/15 8

Droplet Generation Droplet generator is a key subsystem of LPP sources regenerative targets reduction of debris through controlled droplet size synchronization of the droplet with the laser pulse patented in-house dispenser based on cartridges (including fuel reservoir and nozzle) Droplet Size >30 um Fuel Pure tin (99.99%) Droplet frequencies Downtime for cartridge exchange Run-time 6-100 khz <1 hour Days Starting yield >97% (1 start failure for 32 runs) Reza S. Abhari 11/10/15 9

Droplet Instabilities and Control Droplet stability directly affects the EUV energy stability of the light source Droplet Tracking & Positioning Droplet- Laser Triggering Major improvements on droplet stability and starting yield have been achieved by quality control of the fuel delivery system Reza S. Abhari 11/10/15 10

EUV Emission Stability (Raw Signal, no Averaging) Integrated EUV pulse energies for 10 mins source operation EUV energy monitor (ML, Zr filter) and gated hardware integrator. Source operated at 7 khz 0 Pulse-to-pulse stability of EUV energy of 3% (σ) has been recently achieved, due to improvements on droplet tracking / triggering and fuel delivery systems. Typical pulse drop rate ~ 0.01%. Reza S. Abhari 11/10/15 11

Source Operation and Enhancement Requires Knowledge of Plasma Emission and Debris Load Current source produces more power than is currently needed resulting in optimization possibility of collector optics and control systems Need to understand how to operate the source for maximum EUV for minimum Debris load Systematic numerical and experimental emission and debris analysis, followed by modeling Beneficial in tuning our system for improvement in stability and lowering cost of ownership Reza S. Abhari 11/10/15 12

3D EUV distribution Difference between droplet and slab targets Normalized EUV emission distribution [ ] 1 0.8 0.6 0.4 0.2 Slab surface Slab target Droplet target Experimental data 0 0 30 60 90 120 150 Angle vs. axis [ ] The analytical model links the plasma shape to the 3D EUV spatial distribution. The model is validated with slab target results at the same irradiance (2x10 11 W/cm 2 ). A. Z. Giovannini et al., J. Appl. Phys. 114, 033303 (2013) T. Ando et al., Appl. Phys. Lett. 89, 151501 (2006) Analytical model solves: 2D axis. gas dynamic equations Opacity to EUV as a function of ρ, T Collisional radiative (CR) model The plasma shape derived by the EUV distribution is an ellipsoid with b/a=1.2 for the slab targets and b/a=0.8 for droplet targets. From the elongated plasma shape for the droplets, it follows an increase in EUV transmission at large angles. a b Laser EDR Emission dominant region Plume Droplet target Model estimation: b/a=0.8 Reza S. Abhari 11/10/15 13

Angular Distributions of Tin ions Tin ion characterization using motorized array of Langmuir Probes Distributions of kinetic energy and charge in horizontal plane ev nc laser Largest kinetic energies (damage potential) in forward direction Increased abundance of slow ions on the rear side of the target laser N. Gambino et. al, Rev. Sci. Instrum. 85 (9), 093302 (2014). Bob Rollinger 11/10/15 14

Droplet Plasma Expansion: Measured Images of a Single Event ICCD nanosecond gated imaging in the visible region: plasma imaging versus time (% indicates % of laser pulse duration) single shot exposure with gate window of 5 ns VUV to visible range in coronal plasma, T e =3-10 ev, n e =10 12-10 19 cm -3 (I-V) 11/10/15 15 SPIE 2015 - Nadia Gambino

Time-Resolved Debris Imaging with ICCD camera Laser ICCD exposure time: 250 ns (gate) Expansion time calculated from the laser pulse start to the exposure start Images captured during continuous source operation at a power of 1.1 kw with individual laser-droplet triggering EUV emission recorded for each image 16

Source Collector Optimum Location Optimum location determined by trade-off between emission, neutral and ion debris EUV mean energy in +/ 2% BW [mj/sr] 0.4 0.35 0.3 0.25 0.2 0.15 0.1 40 60 80 100 120 Angle vs. axis [ ] A. Z. Giovannini et al., J. Appl. Phys. 114, 033303 (2013). (*) Si sample exposure (**) Results from electrostatic analyzer, Diss. ETH A.Z. Giovannini Bob Rollinger 11/10/15 17

Debris Mitigation Strategy A. Limit debris formation B. Mitigate debris LAYER 1. Control debris around plasma LAYER 2. Control debris in the collector module LAYER 3. Control debris at IF 3. 2. 1. Bob Rollinger 11/10/15 18

Source Collector Module - Imaging Imaging for monitoring of alignment, collector reflectivity drop and focal spot uniformity Collected EUV emission on screen Illuminated collector Beam uniformity close to IF (FWHM 500 µm) Bob Rollinger 11/10/15 19

LPP Source Meets EIDEC Requirements for Blank Mask Inspection Cleanliness after IF Source emission through IF We are pleased with the cleanliness we measured on Adlyte s light source under conditions that replicate a production environment. This meets our requirements for blank mask inspection. Hidehiro Watanabe, general manager, EUVL Infrastructure Development Center (EIDEC), PR 22 October 2014 Bob Rollinger 11/10/15 20

Status of Actinic Mask Inspection Mask Blank and AIMS tools currently under development Status of Pattern Mask Inspection (PMI) is open, in light of pellicle for mask as well as as recent industry mergers Key driver for tool development is the timing of EUVL HVM HVM timing becomes clear with binding financial commitment of end customers It takes minimum of 3 to 4 years HVM production ready inspection tool First generation of PMI is unlikely to be ready for EUVL HVM Light source specs for EUVL HVM Actinic Mask Inspection is challenging, but achievable Reza S. Abhari 11/10/15 21

Final Remarks ETH Zurich will continue improving LPP plasma science and the technology for future generation of light sources for mask and wafer inspection systems. All the technology developed here is owned by ETH Zurich and is exclusively licensed to Adlyte AG for commercialization. Adlyte is actively engaging with partners (incl. supply chain) on meeting the commercial requirements of the tool makers. We would like to thank our team and the industry partners for collaboration and support as well as our supplier for continuing their engagement Special thanks to Swiss National Foundation and Swiss CTI for their continued support rabhari@ethz.ch Reza S. Abhari 11/10/15 22

Thank you" Thank you for your attention. Reza S. Abhari 11/10/15 23